2021 – TECHCET-News


December 20, 2021

ALD/CVD Market Accelerates Due to New Fab Expansions

Will ALD/CVD Precursor Demand Outgrow Wafer Starts?

San Diego, CA, December 20 2021:  TECHCET—the electronic materials advisory firm — announced that the ALD/CVD markets will accelerate in growth due to the many new fab expansions in leading-edge Logic and Memory. As a result, the high-K and Metal Precursor markets are expected to have high growth for Hf, Co, and Tungsten precursors. The expected market is forecasted to increase 17%, reaching US$713 Million. By 2025, the market will reach US$1,050 Million. The dielectric precursor market segment will also experience high growth for the same reasons. This segment will grow 20% in 2021 to reach US$650 Million, and by 2025, is forecasted to be US$875 Million, as indicated in TECHCET’s Critical Materials Reports on ALD/CVD Precursors.

The disruptive change in NAND Flash scaling reaches upward as layered stacks have increased the demand for several CVD and ALD processes:

  • PECVD dielectric stack, 32 to 192L
  • Tungsten (WF6) gates
  • Contacts get deeper, and stacked modules are implemented
  • Multiple ALD processes within the ONO/MG stack

CVD/ALD precursor demand outgrows wafers starts because multiple new processes are added node by node for patterning and 3D-stacked scaling and replacing other deposition techniques such as PVD, Spin-on-Dielectrics, and Plating.

The industry is also getting geared up for the planar DRAM transition to 3D-DRAM, which may, just like NAND, be a growth driver for PECVD, ALD, and Etch. However, 3D implementation is on the horizon, and until then, many more nodes of continued classical DRAM scaling are being executed according to industry roadmaps. GAA-FETs will increase opportunities for Epi Si/SiGe multi-stacks. CEA-Leti has demonstrated a 7 Si/SiGe level stack and may use double exposure EUV. Current 3D-NAND scaling is good to go for 5 -years.

For more information about the ALD/CVD market, check out TECHCET’s CMRs on ALD/ High-K Metal and Dielectric precursors reports:
ALD/ High K Metal Precursors: https://techcet.com/product/high-kald-precursors/
Dielectric Precursors: https://techcet.com/product/dielectric-precursors/

To see all press releases: CLICK HERE 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


November 19, 2021

2021 Silicon Parts Demand Outstrips Supply as Market Grows >30%

Parts Revenues Expected to Exceed US $900M

San Diego, CA, November 19, 2021:  TECHCET—the electronic materials advisory firm — announced silicon parts demand is outpacing supply given pandemic-induced needs for increased safety stocks and high chip production. Even if the pandemic subsides next year, the supply tightness is expected to continue beyond 2022 as parts will be needed to support growing 3DNAND and leading-edge logic device production.

Top Concerns About Supply Availability

  • Silicon Parts capacity is likely to remain below demand for the next year as equipment and ingot materials needed to increase volumes are experiencing long lead-times 2-3X normal.
  • Strong growth in 3DNAND and advanced logic devices is expected to drive healthy parts revenue growth through 2025, growing 8.7% per year (CAGR 2020-2025)
  • The OLED market, which also depends upon silicon parts, is experiencing strong growth adding to the supply availability issues

As identified in TECHCET’s latest Critical Materials Report™ on Silicon Equipment Components, these concerns about supply availability are revealing opportunities for smaller and potentially new fabricators. IDMs are increasingly receptive to engaging with smaller suppliers in hopes of alleviating supply-chain shortages.

Opportunities for Fabricators of Si and SiC Parts

  • The upturn in equipment components sales stimulates opportunities for smaller fabricators, “Mom & Pop” shops, that can cater to requests from larger fabricators or IDMs that own the parts designs. This is most prevalent in Asia.
  • The shorter lifetime and potentially lower cost of ownership of silicon parts compared with CVD SiC parts are essential factors that will continue to stimulate a transition away from silicon to CVD SiC. Additionally, because SiC is not dependent on the silicon ingot supply-chain, CVD SiC may also have better availability over the next few years.

2021 is estimated to be up 33% to total $911M in 2021, an unprecedented 2nd year of high growth.

For more information on the silicon parts market, check out our new Critical Materials Report™ on silicon parts: https://techcet.com/product/silicon-equipment-components-research-report/ which includes detailed profiles on Applied Ceramics, CoorsTek, Daewon, DS Techno, FerroTec, Global Wafers, HANA Materials, Hayward , Quartz Materials/ Sungrim, Sanwa, Schunk Xycarb, Silfex, SKC Solmics, Techno Quartz, WorldEx/ WCQ, and others.

To see all press releases: CLICK HERE 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.

Back to top


November 10, 2021

TECHCET’S 2022 CMC CONFERENCE ANNOUNCES CALL FOR PAPERS

 

TECHCET announces a call for papers for the upcoming 2022 CMC conference – “Mission Critical Materials Keeping Pace with Chip Supply & Demand”. The Conference will focus on challenges of materials and manufacturing of semiconductor devices – those materials issues most critical for today and those needed to be solved for the future.

TECHCET is looking to find the best original work from all areas of the semiconductor industry pertinent to materials. To submit a paper for consideration, please send a 1-page abstract focusing on critical materials supply dynamics to Jonas Sundqvist at [email protected]. The call for papers deadline is January 15, 2022.

The conference is currently being planned to be in person in Phoenix, Arizona from April 28-29, 2022, however, it is subject to change based on COVID-19 guidelines and may become virtual if the situation arises.

The Critical Materials Council (CMC) Conference is a 2-day event providing actionable information on materials and supply-chains for current and future semiconductor manufacturing. Unlike other conferences, the CMC Conference provides practical information addressing “How-to” not just “What.” Solutions to process challenges and materials-related issues are a key focus for the CMC conference presenters. Equally important focal points include business and supply-chain trends and materials needed for emerging technologies.

2022 CMC Conference welcomes submissions to cater to our 4 Sessions:

  1. Global Issues & Trends Impacting Materials
  2. Immediate Challenges of Materials and Manufacturing
  3. Emerging Materials in R&D and Pilot Fabrication
  4. Heterogenous Integration& Advanced Packaging Materials

Material areas of consideration include front end and back end process materials and equipment components, including: wafers & substrates, electronic gases, chemicals, CMP consumables, sputter targets, precursors, metal chemicals, photoresist and other lithography materials; packaging materials; and equipment components, with emphasis on quartz, SiC, and ceramics.

For more information on our 2022 CMC Conference: CLICK HERE 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.
Back to top


October 25, 2021

Ceramics/SiC Market Expected to Reach US $2.00B

Will Aluminum Nitride & SiC Markets Continue to Grow?

San Diego, CA, October 25, 2021:  TECHCET—the electronic materials advisory firm — announced that the 2021 worldwide ceramics parts market for fabricated ceramic parts is expected to reach US$2.00B, growing 37+% from 2020, driven by semiconductor production growth and spending on new fab equipment. The market will experience a 13% CAGR from 2020 to 2025, which aligns with forecasted fab construction projects and fab expansions globally. Ceramic demand looks very positive; however, ceramic material production capacity is challenged to meet volume requirements for newly advanced process nodes in the midst of strong growth from all device segments.

Figure: Total Ceramics Market Forecast and Growth Estimates (2020-2024)

Currently, the industry is grappling with a chip shortage situation caused by two factors:

  • Ongoing demand for chips that support computing and mobile applications that arose during the COVID19 pandemic
  • The resurgence of the automotive market that had gone dormant during the first 6 months of 2020 when the COVID19 pandemic began

As indicated in TECHCET’s newly released “Critical Materials Report™ on Ceramic Equipment Components,” automotive chip applications are a key driver of 200 mm chip production and subsequently 200 mm parts fabrication. High growth in this area is requiring 200 mm parts fabricators to increase production volumes at a surprising rate, suspected to be even higher than 300 mm this year. 150 mm fabrication, although older technology, has more available capacity than 200 mm. Parts fabricators are consequently increasing instead of decreasing 150mm parts production.

Aluminum nitride, AlN, has become a highly popular ceramic material for heater applications and heated wafer chucks. With increased usage in new systems, AlN consumption is expected to increase over the next several years. Being that it is produced by less than a handful of suppliers, AlN purchasers will always have a difficult time with lead-times with the OEM equipment market heats up again.

High purity, high density (low porosity) homogeneous materials such as AlN, SiC, CVD SiC, and polysilicon will flourish in new etch, PECVD, ALD, and thermal processing applications, where low defect and high thermal uniformity performance is critical. Over the next 5 years, the AlN and SiC markets will continue to exhibit stronger growth than Alumina and other ceramic materials due to needs for increased productivity, evolving intellectual property rights, reduced defects and process requirements for next-generation thermal processes.

Companies that participate in ceramics and SiC market segments include: Ferrotec, Coorstek, SK Solmics, Hana Materials, Morgan, Kyocera, Asahi Glass, Tokuyama, NGK, Maruwa, and Watlow.

For more information on the ceramics market, check out our Critical Materials Report™ on ceramics/ SIC:
https://techcet.com/product/ceramics/

To see all press releases: CLICK HERE 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.
Back to top


October 11, 2021

Chip Demand Riding High but for How Long?

Materials Capacity Constraints on the rise with possible correction in 2023

San Diego, CA, October 11, 2021:  TECHCET warns of possible bull-whip effect from the 2020-2021 steep chip growth. A key factor that may ease any sudden inventory correction is limited materials capacity. All materials from wafers to wet chemicals are seeing signs of strain as lead-times are steadily increasing. Leadtime push outs result from the supply-demand imbalances and logistic bottlenecks caused by the still lingering effects from the COVID19 pandemic in 2020.

As shown below, from TECHCET’s proprietary Wafer Start Forecast, leading-edge device wafer starts are growing in excess of 12% in 2021. This, combined with the legacy devices, drives robust growth rates and adds to the supply-demand strain. Wafer suppliers and other process materials companies are challenged to meet demand from chip fabricators worldwide. TECHCET is hearing complaints of lead-time increases from nearly every material market segment, including wafers, targets, wet chemicals, and equipment consumables, among others. Lead-times of equipment consumables (parts) are at an all-time high, increasing 50% to 100% in most cases; some delivery lead-times are exceeding 9 months as opposed to 1-2 months, as stated in TECHCET’s recent Critical Materials Reports™ on Ceramics and Silicon Parts.

Over the past 15-20 years, materials suppliers have been hesitant to invest in additional capacity, especially in those regions of the world (US and Europe) that are losing chip production share relative to Asia. Past experience with unpredictable swings in the semiconductor market has lead some materials companies to over-invest, not aware of the downturn; the result is having to operate at a loss or close their doors. Looking at history, lower or negative growth dips tend to occur every 3-5 years. Hence, suppliers have experienced these cycles at least 4 times over the past 2 decades. As a result, suppliers have been very hesitant to invest. This behavior will likely limit overall growth throughout the value chain.

“As chip-making capacity grows, inventories will start to build; this type of behavior typically results in a slower growth by year 3,” stated Lita Shon-Roy, TECHCET’s President & CEO. “We normally don’t see more than two years of strong consecutive wafer start growth, and it is not clear whether this will result in a hard correction by 2023 or a soft landing. The bull-whip effect happens when a large swell in demand pulls on the supply-chain. Like a traffic jam, everyone speeds up just to get halted somewhere along the Highway. And as capacity is finally put online, the market corrects itself, demand drops, and supply shoots past demand.” Shon-Roy expects to see this occur sometime in 2H2023 or early 2024, cautioning that the economy will play a role in whether we come to a screeching halt or if the slow-down will be gradual enough for suppliers to adjust production levels to match demand.

Despite these cycles, the semiconductor market is expected to maintain strength over the next 5 years with CAGRs well above 5% YoY in spite of the challenges that COVID19 has brought to the world. As a result, growth investment in semiconductor production and materials is strong and is expected to continue in upcoming years.

For more information on critical materials, see TECHCET’s Critical Materials Reports ™:
https://techcet.com/shop/

To see all press releases: CLICK HERE 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com.
Back to top


Septemeber 23, 2021

“How long will it last?” Heightened Demand for Plating in Advanced Packaging & Front-End (FE)/Damascene Chemicals

Healthy growth through 2022, but softening expected in 2023

San Diego, CA, September 23, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced a heightened need for plating chemistries consumed in advanced packaging & FE/damascene driven by huge growth in chips in all sectors. This includes plating chemicals for copper (Cu), nickel (Ni), tin (Sn), and silver (Ag). Total copper plating chemicals are expected to grow 14.2% in 2021 to total $662M, with advanced packaging rising almost double that of damascene. Advanced packaging applications include Cu pillar, Redistribution Layer (RDL), and Through Silicon Via (TSV).

Advanced logic is the main driver of growth for plating materials. Used for damascene, copper plating chemicals volume demand increases with each new logic generation. Although CVD or PVD Cobalt (Co) and Ruthenium (Ru) are starting to be used in M0-M4 layers, overall growth in advanced logic and the number of layers pushes up Cu plating revenues for advanced logic applications. Advanced logic nodes (<16nm) wafer starts are forecasted to increase 14.4% CAGR (2020-2025); however, the number of damascene steps required for all leading-edge device wafer starts is expected to increase by more than 50% annually.

Although strong growth is expected throughout the forecast period, TECHCET anticipates a slowing in growth by 2023. “In another couple of years, we anticipate an inventory correction which should slow the pace of growth in devices and moderate demand for plating chemicals,” stated Dan Tracy, Sr. Director of TECHCET. However, by 2025, another upturn is expected.

For more details on wafer level metal plating chemicals and advanced packaging see TECHCET’s newly released report:
https://techcet.com/product/metal-chemicals-for-fe-advanced-packaging/ ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


Septemeber 13, 2021

Sputter Targets & Metals Demand Met with COVID-impacted Lead-times and Costs

Delivery lead-times lengthening and costs spike as Targets Revenues push ahead 8.5% to US$740 Million

San Diego, CA, September 13, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced COVID-19 related logistic issues remain, causing increased delivery lead-times and higher logistic costs for targets and metals among other materials. Chip fabricators and sputtering target suppliers both confirm tight supply and long lead-times for Cu/Cu alloy targets, as well as, Cu and Ta Hollow Cathode Magnetron (HCM) targets used in 200 mm Novellus (Lam Research) PVD systems. Longer lead-times for Cu and Cu alloy targets are the result of strong demand for these materials in advanced device fabrication and raw material price increases.

Raw material metal pricing is increasing in a number of segments, such as Cu, Co, Al, and Ta, given the demand increase with an improving global economy. The limited development of new Cu mines, coupled with aging, less productive existing mines, could also put pressure on future pricing as overall demand is forecasted to increase in the coming decades. The pricing for precious metals, such as Ag, Pd, Ru, and Ir has risen as well: Ag and Pd pricing up 40% from the early 2020 baseline and, versus the same baseline, both Ru and Ir experiencing triple-digit price increases.

With supply-demand out of balance especially for Cu/Cu alloy targets, fabs are more receptive to working with “smaller” target suppliers versus the traditionally larger players in the market. TECHCET is aware of memory makers looking at smaller, non-traditional semiconductor industry target suppliers. This drive to pursue alternative sources for Cu/Cu alloy target suppliers may be driven in part to seek more competitive pricing.

The sputtering target (excluding precious metals) market will total US$740 million in 2021, up 8.5% from 2020. Tantalum is the leading target revenue generator due to its high intrinsic cost and selling price, though Ta is replaced in 7nm & below Logic applications.

For more details on specific metals, and targets market segments and growth trajectory go to: https://techcet.com/product/sputter-targets/ 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


August 30, 2021

3rd Quarter Outlook on 2021 Semiconductor Materials

Revenues Top $57B With growth upsides through 2025

San Diego, CA, August 30, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced 2021 semiconductor materials revenues will top $57B, growing by 8% to 30%, covering the spectrum of materials segments. CAGR for all materials is estimated to be at least 5.3% through 2025. The highest growth segments include wafers, equipment components, precursors, cleans, CMP, and photoresists. Wafers and chemical revenues are expected to get an extra boost as the supply-demand strain will likely push up ASPs. Additionally, there is a huge upside potential given expansions announced across the globe.

“Given the huge upsurge in chip demand, materials supply-chains are running just at demand, and lead-times are lengthening,” stated TECHCET President/CEO Lita Shon-Roy. “The equipment components area is especially hard hit. Quartz, silicon carbide, and ceramics have been quoting lead times up to nine months or longer. Wafers is another area we expect to see availability challenges, especially as we move into the second half of 2022.” Capacity expansions in several materials segments are starting to occur, which will help ease the demand strain and push up volumes and revenues in 2022. Current forecasts show a bullish 2022, with slower growth to follow as the supply-chain adjusts to easing demand. By mid-2024, the new chip fabs are expected to be ramping, resulting in another wave of good growth.

Market trends and materials supply-chain ramifications are continually tracked by TECHCET’s analysts. For a free overview download on the Impact of US Chip expansions, go here: https://techcet.com/product/fdl-briefing-impact-of-us-chip-expansion/ 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


August 19, 2021

Steep Increase in Photoresists & Ancillaries Market Driven by Surge in Wafer Starts (Updated 8/24)

Resist Sales are projected to be $2B in 2021, ~11% over 2020

San Diego, CA, August 19, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced that resist sales for semiconductor manufacturing will top $2B in 2021, an 11% increase over 2020. CAGR is estimated to be 7% through 2025. Ancillaries (developers, solvents, edge bead removers) and extension materials (anti-reflective coatings) are anticipated to grow 9.9% in 2021 over 2020 with a 5-year CAGR of 7.1%. “Once the new fab expansions by Intel, TSMC, and Samsung come online, volume demand and revenues will increase further,” said Dan Tracy, Ph.D., TECHCET’s Sr. Director of Market Research.

Litho Materials Trends:

  • EUV materials are projected to show strong growth, 60% CAGR 2020-2025 driven by DRAM and Logic production
  • ArF / ArFi resists will grow with 3DNAND and Logic wafer starts, but EUV resists will start to replace some ArFi resist steps which will dampen longer-term growth; CAGR ~6.4%
  • KrF resist is also expected to see healthy growth with a CAGR of 7%
  • Suppliers in China are accelerating efforts to get KrF resists qualified for chip manufacturing. They already supply I-line, and G-line resists

Wafer starts are expected to increase >13% for advanced Logic and >18% for 3DNAND in 2021over 2020. Strong growth in mobile computing, cellphone, server, and automotive segments is driving high demand for these chips and, in turn, for materials.

For more info on TECHCET’s Critical Materials Report™ on Litho Materials: https://techcet.com/product/photoresists-and-photoresist-ancillaries/ 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


August 10, 2021

WF6 and NF3 Demand Expected To Outstrip Supply By 2025 Driven By 3D NAND Memory Evolution

2021 Recovery For Specialty Gases Stronger Than First Anticipated

San Diego, CA, August 10, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced 3D NAND memory evolution is driving increased use of WF6, NF3, and heavy noble gases (Kr and Xe), which may lead to a supply shortage. WF6 demand growth, with a CAGR of 14.2%, is expected to outstrip supply by 2024/2025. The high growth is due to increased use of tungsten in 3DNAND and fab expansions. NF3 demand growth, with a CAGR of 15.8%, is also expected to outpace supply by 2025. It is heavily used in chamber clean and etch processes across the semiconductor and FDP industry. NF3’s demand growth is driven by 3DNAND and FDP post Covid recovery.

“Due to vertical scaling of 3DNAND, the demand for tungsten deposition (WF6) and chamber clean gases (NF3) for dielectric PECVD and tungsten ALD continues upwards and may cause a supply shortage in 2024 unless suppliers increase capacity,” said TECHCET’s Sr. Analyst Dr. Jonas Sundqvist. “As of now, no suppliers have specifically announced capacity increase.” Hopefully, in the future, suppliers will announce capacity increases for WF6 and NF3 to combat this shortage.

There is significant growth expected in Asia and in the US for gas companies. The US has announced numerous leading-edge fab expansions that will pull on the supply of specialty and rare gases (EUV). Fab Investments in Taiwan, Korea and China drive global demand adding a demand from memory fabs. Rare Air Gases such as Ne, Kr and Xe, rely on investment in Air Separation Units (ASUs) with capability to also extract those rare gases and unless the demand is high enough for all types it may cause imbalances in supply/demand of abundant Air Gases such as N2, O2 and Ar and rare gases. Due to limited investments in EU fab infrastructure, EU Fabs will more and more have to rely on gas imported from overseas.

As indicated in TECHCET’s New Critical Materials Report™ on Electronic Gases 2021, the recovery for specialty gases is stronger than first anticipated and this will continue into 2022 due to ongoing chip fab expansions. Specialty gas has a 2020-2025 CAGR of 7.6%, while bulk gas has a CAGR of 4.2% from 2020-2025.

For more information on Electronic Gases Including Ne & Xe Critical Materials Report™: https://techcet.com/product/gases/ 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


August 3, 2021

Advanced Packaging and Next Generation Devices Accelerating CMP Consumables Growth

2021 Slurry Market is Forecasted to Reach US$1.80 B up 8% from US$1.66 B in 2020

San Diego, CA, August 3, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced CMP (chemical mechanical planarization) consumables for advanced packaging exhibited high growth of approximately 14.1% in 2021 over 2020 and expected to grow at a CAGR of 12.7% over the next 5 years. Applications such as 3DTSV, and FOWLP represent solid opportunities for CMP, adding 1 to 2 CMP steps per wafer.

“In addition to the advanced packaging segment, we are seeing high growth of CMP consumables in next-generation logic and memory devices. The number of CMP process steps continues to increase, driving healthy growth over the next 5 years,” said TECHCET’s President, Lita Shon-Roy. 3D 7nm logic devices require as many as 45 CMP steps, while 3D NAND 128L devices have ~ 34 CMP process steps. These continue to increase as more layers and CMP steps are added into each new generation.

As indicated in TECHCET’s newly released Critical Materials Report™ on CMP Consumables 2021, the total slurry market is forecasted to reach US$1.80 B in 2021 up 8% from US$1.66 B in 2020, with a 5-year CAGR of 6%. Cu bulk, Cu barrier, tungsten, and oxide (both ceria and silica-based) slurries represent the largest segments of the slurry TAM. The resurgence of tungsten slurry growth is being fueled by the rapid increase in layers for 3D NAND. New applications related to HKMG and Co continue to grow as well.

CMP has continued to be one of the critical process steps that make ultra-flat and smooth surfaces enabling advanced electronic device manufacturing with an increasing number of thin layers. The consumables industry fared well during COVID. Despite concerns about an economic slowdown caused by the Covid-19 pandemic, the CMP consumables industry remains strong and is seeing growth as a result of the overall Semiconductor boom. The 2021 pad market is forecasted to reach US$1.11 B up 9% from US$1.02 B in 2020, with a 5-year CAGR of 5.1%.

For more information on the CMP Consumables Critical Materials Report™: https://techcet.com/product/cmp-slurry-and-pads-only/ 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


July 13, 2021

Automotive Market Driving Growth for Legacy Quartz

Quartz Market Is Expected To Reach US$1.66 B in 2021 up ~20%

San Diego, CA, July 13, 2021: TECHCET —the electronic materials advisory firm providing business and technology information— the automotive chip shortage is driving strong growth of < 200 mm quartz demand. Quartz suppliers have been struggling to ramp up production to meet demand. As Highlighted in TECHCET’s Quartz Report. “Even 150mm parts demand is coming back,” stated Lita Shon-Roy, TECHCET’s President & CEO. “The industry is scrambling to provide enough needed components, including silicon carbide, silicon as well as quartz components to support etch and deposition equipment/processes.”

The quartz fabrication market is expected to reach US$1,656M in 2021, growing ~20% from 2020. The 5-year CAGR (2020-2025) for both the base materials and fabricated parts market segments is estimated to be approximately 8%. In 2021, the semiconductor equipment market is expected to exceed 20% further driving demand of quartz parts. The industry will be able to meet the growing demand until 2022, by which time the next wave of quartz supply chain expansion will be needed.

Market shares have only changed slightly. Fabrication market leaders include: Heraeus-ShinEtsu/Conamics, Tosoh, Wonik QnC, Ferrotec, Hayward Quartz, Techno Quartz and Maruwa. With regard to base materials, Wonik’s acquisition of Momentive has improved its position. Major based material shareholders include: Heraeus/Shin-Etsu, Wonik, Feilihua, Tosoh, and QSIL.

Details on the Quartz fabrications market and supply-chain, including base materials and high-purity quartz powder, can be found in TECHCET’s newly released “Critical Materials Report™ on Quartz Parts.”

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/quartz-equipment-components/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


July 8, 2021

Shortage Threat to Semiconductor Materials from US Chip Expansions Plans

Is the US Wet Chemical Supply-Demand out of Balance?

San Diego, CA, July 8, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— warns that semiconductor materials are at risk of shortage in the US. In response to President Biden Executive Order on America’s Supply-Chains, TECHCET submitted a report highlighting US semiconductor material supply-chains at risk. The oncoming expansions planned in the US by Intel, TSMC, Samsung, and others will push up demand and strain the materials supply-chains further. Those materials most vulnerable include wet chemicals, solvents, photoresists, gases, and wafers/substrates. In particular, ultra-high purity wet chemicals are in threat of running short unless additional capacity is put in place.

US Semiconductor device production over the past two decades has declined significantly from 37% of worldwide output to 12%. Now with the potential increase of 30% in US chip production over the next 3-5 years from the top 3 IC manufacturers, materials availability will tighten and may become critical. TECHCET projects that increase in domestic capacity are critical to avoid shortages. Given the time required to bring a new plant online, the time frame for new capacity is now.  Note: It typically takes 2-3 years to build a plant to support semiconductor quality chemicals, given all the steps involved in the process. If capacity is not expanded soon, there may be shortages experienced by 2023.

The wet chemicals supply-chain is of highest risk because of several key variables:

  • 40% increase in volume demand by 2025
  • Lack of additional domestic capacity
  • Increasing dependencies on Asian suppliers
  • Transportation challenges and rising costs, including ocean freight, and
  • Container, storage, and warehousing challenges impacting cost and quality

At present, the US Semiconductor market gets 31% of its Ultra High Purity (UHP) chemicals from Asia. Continued dependency on overseas chemical manufacturing means that as the US chip industry ramps, device manufacturers will be ever more dependent on very long, sometimes fragile supply-chains.

US supply and capacity for chemicals such as semiconductor grade purity sulfuric acid (H2SO4), hydrochloric acid (HCl), isopropyl alcohol (IPA), hydrogen peroxide (H2O2), hydrofluoric acid (HF), phosphoric acid (H3PO4), ammonium hydroxide (NH4OH), and nitric acid (HNO2), has been running at parity with demand. Few to no domestic sources of these chemicals have announced plans to invest in additional capacity, given low margins relative to the high cost of new facilities. As pointed out by Lita Shon-Roy, TECHCET’s President & CEO, “These materials continue to have US supply-chain shortages every other year, if not every year, wreaking havoc on US and European chip fabricators. Without growth in the US wet chemical supply-chain, the US semiconductor industry will continue to have shortages and availability issues, limiting chip expansion plans.”

US Expansion Plans Reliant On Imports

TECHCET estimates 14% of all US Semiconductor Wet Chemicals are supported by Imports. However, when considering only UHP products for leading-edge chip production, this number jumps to 31%, as stated in TECHCET’s latest study, Impact of US Chip Expansion on Wet chemical Supply. The need to import products such as IPA and H2SO4 is a clear indication of the shortcomings of the US UHP supply-chain. As shown in the figure, approximately 100% of all UHP IPA demand is supported by imports. The majority of chemical products coming from overseas are UHP grade because the US has very little ultra-high purity manufacturing capability for many of the semiconductor wet chemicals.

Although many chemical manufactures hesitate to add or build new capacity in the US, it is evident from TECHCET’s research that given the volumes anticipated, the costs to expand chemical production facilities should be justifiable. The key issue will be whether the IDMs will make enough of a commitment for the chemical supplier to invest in new capacity before supply shortages become critical. Failure to further expand US domestic critical materials manufacturing will likely hinder US chip expansion plans.

For more information about US materials supply-chain risks, contact TECHCET on how to get a copy of: “Impact of Chip Expansion on US Chemical Supply-Chain” https://techcet.com/product/impact-of-chip-expansion-on-us-chemical-supply-chain/ 

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


June 29, 2021

Are There New Opportunities for Tier 1 and Tier 2 CVD/ ALD Precursor Suppliers?

Precursors global market is expected to be US$1.7B by 2025.

San Diego, CA, June 29, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced announced that there are several new opportunities in the wake of the Pandemic and unresolved Global trade issues for both Tier 1 and Tier 2 suppliers.

  • Global fab expansions drive demand and R&D investments
  • Continued M&A by Tier 1 suppliers reduce the number of local Tier 2 suppliers, opening up opportunities for start-up activities and smaller entities.
  • Continued device design shrink requires the introduction of higher conductivity metals compatible with contact, local interconnects, and BEOL – Mo, Ru, and Ir.
  • Continued focus on new lithography and patterning technologies opens new application for dry resist and new hard mask materials to support EUV.
  • Multi Patterning development also continues, in search of lower temperature deposition materials.

CVD/ALD Metal and High-k Precursors totaled US$624 million in 2020 and is expected to grow 12% in 2021 to US$710M, as highlighted in TECHCET’s Critical Materials Reports™ on ALD/ High K Metal Precursors and Dielectric Precursors. The 5-year CAGR (2020-2025) is estimated to be 8.0%. The key drivers for growth for various segments are:

  • High-κ precursors – DRAM (90 nm and below)
  • HKMG: Logic (45 nm and below),
  • Tungsten (W): 3DNAND

The highest revenue growth will occur in metal precursors that include:

  • Cobalt in Logic
  • Ruthenium in Logic, if implemented in high volume manufacturing
  • Hafnium in Memory (DRAM and emerging NVM)
  • Lanthanum for HKMG

Advanced Dielectric Precursors totaled US$549 M for 2020 and is expected to grow 14% in 2021 to US$624M. The 5-year CAGR (2020-2025) is estimated to be 6.1%. The highest revenue growth will occur in dielectric precursors that support multi-patterning and newer applications areas including EUV, as follows:

  • DIPAS/BDEAS precursors: Logic and DRAM patterning (SADP, SAQP)
  • TSA: for 3DNAND and potentially also for EUV dry resist (SiN PEALD) in competition with a new precursor based on diiodosilane

This year, STREM was acquired by Ascensus Specialties. M&A activity of large companies is often focused on growing current product lines much to the neglect of R&D activities. Many large companies focus on acquisition to bring in new technology. TECHCET has seen an increase in consulting requests on the ALD/CVD market from potential new entrants.

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/high-kald-precursors/

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/dielectric-precursors/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


June 22, 2021

2021 Wafer Supply Constrained Through 2024 Likely

Will there be new greenfield projects to help with tightened capacity?

San Diego, CA, June 22, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced that the wafer supply will remain constrained into and possibly through 2024. With 300 mm wafer capacity tightening, it will likely remain tight in subsequent years unless greenfield capacity is brought online. Based on current forecasts, 300 mm prime wafer demand will be at >99% of utilization production capacity in 2022. Epitaxial capacity utilization is also likely in the 99% range currently. Global 300 mm wafer production capacity will need to expand by 6% or more over the next two years to avoid a shortage in meeting the current 300 mm wafer shipment forecast. However, no new plants have been announced, which means that large capacity additions (if investments/building plans started today) will not happen until 2024 at the earliest. With so much need for growth, new investment for 300 mm greenfield will cost at least US$2 billion and take 2+years to complete before production will be able to start. In addition, suppliers have announced new greenfield investments will require LTAs based on higher pricing.

Larger fab customers likely will not feel the full impact of supply constraints and higher prices. However, the impact is likely to be more significantly experienced by “second-tier” customers.

For 300 mm wafers, “robust” reclaim and reuse efforts in place to reduce demand for test and monitor wafers. This reduces the need for wafer suppliers to support these wafer products. There is upwards pricing pressure reported in the market, including for 150 mm and 200 mm wafers.

  • Where will new greenfield investment by the top-tier suppliers occur?
    • New greenfield projects will likely be in Japan and in Southeast Asia, where electricity costs are lower.
    •  Perhaps with funding through the U.S. Chip Acts, there will be an opportunity for 300 mm wafer manufacturing in the U.S. to support the planned fab investments announced by Intel, Samsung, and TSMC.

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/silicon-wafers/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


June 8, 2021

2021 Resist revenues to grow 6% to $2.0B and to $2.4B by 2025

EUV and KrF materials will continue growing through 2025 given continued ramping of leading-edge devices

San Diego, CA, June 8, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced 2021 resist revenues are forecasted to grow 6%+ to $1.98B USD and to continue expanding to $2.37B in 2025. Market dynamics will continue to drive photoresist material revenues upward due to high chip demand and low chip supply.

  • EUV
    • to increase ~90% to $51M for 2021
    • volumes nearly doubled from 18 Kliters in 2020 to 35 Kliters in 2021.
    • Revenues 53% CAGR 2020-2025
    • Driven by leading edge logic and DRAM <5nm
  • 2021 KrF driven by 3D NAND
    • revenues to and volumes to increase to ~12% in 2021
  •  I and g-line: up 2-3% for 2021

With the expansion in 3DNAND and logic production over the next 3-5 years, so will the use of photoresist thinner and EBRs which heavily rely on propylene glycol methyl ether acetate (PGMEA), the predominant casting solvent.

Technology trends to watch:

  • EUV lithography is in high volume production (HVM) at TSMC and Samsung logic fabs. Intel is expected to start production with EUV in 2021, which will drive EUV ancillaries and photoresist volumes.
  • Samsung Electronics is planning mass production of D1z process DRAM using EUV lithography.
  • 3D NAND device sales growth and node changes, creating more exposure levels, will drive increases in KrF resist usage, specifically for the thicker formulations, in the ~20% range 2022-2025.
  • Directed Self Assembly (DSA) has been demonstrating promising results ; lower defectivity and applicability to lithography pattern repair. This technology is making the sort of progress that portends a future applicability to high volume chip manufacturing.
  • Nanoimprint (Canon) has shown improved defectivity and overlay capability and is being yield tested for use in production of 3D NAND memory.

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/photoresists-and-photoresist-ancillaries/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


May 20, 2021

2021 Electronic Gas Market to Reach $6.25B up 7%+

Supply-chains straining to keep up with demand

San Diego, CA, May 20, 2021:  TECHCET—the electronic materials advisory firm providing business and technology information— announced that the total electronic gas market will reach $6.25B for 2021, more than 7% above 2020 revenues. Over the next several years, steady growth is expected thanks to growing demand for chips and fab expansions worldwide.

As highlighted in TECHCET’s recently published 2021 Critical Materials Report on Electronic Gases – Markets & Supply-Chains,

  • 2021 Bulk Gas segment ~ US$1.71B up 3.3% from US$ 1.66 B
  • 2021 Specialty Gas segment ~ US$ 4.53 up 8.4% from US$ 4.19 B
  • Market drivers: computing and automotive
  • Key risks: geopolitics, shrinking supply-chains relative to demand

The four big gas companies, Linde, Air Liquide, Nippon Sanso Holdings, and Air Products experienced, slowing from COVID-19 early in 2020 in industrial gases and engineering & installations while making progress in Healthcare and Electronics. In contrast, sales in the electronics gas sector, including EMD Electronics (Merck/Versum), Showa Denko, and Messer, grew 8% in 2020 and will have a strong 2021 due to high demand for chips in all electronics applications, including computing, mobile devices, flat panel displays, and automotive.

“Over the next 3-5 years, electronic gases will experience high growth due to the ramp of new leading-edge Logic and Memory technologies and continued growth in the display market,” stated Jonas Sundqvist, TECHCET’s Sr. Analyst. “At present, there is moderate growth in PV due to the ongoing switch from carbon to solar-based energy production, which will contribute to Specialty and Bulk gas demand. 3DNAND vertical scaling continues to drive the demand of WF6, NF3, and heavy rare gases.”

Concerning bulk gases, one of the most significant supply-chain risks is Helium. COVID-19 negatively impacted overall Helium sales due to a reduction in He-lifting (balloon) and medical applications (including MRI), temporarily alleviating shortages in the market. As the pandemic subsides and semiconductor expansions begin to ramp, the helium supply-chain may once again experience tightness. The key will be whether sources in Russia will come online in time to alleviate the strain. Now at a 2% share of total helium production, Russia is expanding capacity that will represent approximately 25% of total WW helium capacity by 2025. This is relative to the US share, which will fall from 46% to 25% by 2025.

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/gases/

ABOUT TECHCET:  TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


May 6, 2021

Market for Fabricated Quartz Components Trending Upwards of 20% to Reach US$1.65 B for 2021

Regional shifts in production continue as market seeks to meet demand

San Diego, CA, May 6, 2021: TECHCET—the electronic materials advisory firm providing business and technology information— announced sales of semiconductor quartz equipment components are expected to reach US$1,650 M, accelerating beyond 20% for 2021. Last year’s total quartz sales of US$1,368 M grew 11%, with Taiwan and Korea growing at >15%. China suppliers were negatively impacted by COVID-19 in 1H2020 but recovered in 2H2020. For 2021, revenue increases are expected to remain steady in all regions.

The quartz components market will experience an 8% CAGR, as shown in the graphic below. However, “chip expansions happening over the next few years may push up growth even higher,” said Lita Shon-Roy, TECHCET’s CEO/President. “The average growth rate for 2021 is expected to be ~20% overall, with certain regions in Asia reaching 40%. This strong demand for quartz is largely driven by sales of new furnace and etch equipment.”

Semiconductor Quartz Components Market Forecast

As highlighted in TECHCET’s 2021 Critical Materials Report™ on Semiconductor Quartz Components, the top four market share leaders are Heraeus/Shin-Etsu, Tosoh Quartz, Wonik QnC, and Ferrotec, sharing more than 60% of the total fabricated quartz market. WonikQnC gained market share due to the acquisition of Momentive. Close behind is Hayward Quartz, who is now investing US$66M in the Danang Hi-Tech Park, Vietnam, to expand capacity.
In the sub-tier, TECHCET sees an up-and-coming quartz powder provider – Russian Quartz. In 2020 the Company launched its second chlorination line to produce extra-pure quartz concentrate RQ-1K, high purity powder. Whether they can qualify the product for semiconductor fabrication is yet to be seen.

Overall, lead times are lengthening due to increased sales while technology transition impacts the mix of products. TECHCET expects to see an imbalance between quartz base materials supply and fabrication demand by 2H 2021, further exacerbating lead times. Announced chip expansions will challenge this already tight supply-chain over the next 3-5 years.

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/quartz-equipment-components/
Upcoming Events: CMC Joint Session & Advisory Alert Meeting May 18, 2021.

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact [email protected], +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.
Back to top


April 5, 2021

ALD/CVD Metal Precursors US$640M Market Booming to US$910M in 2024

Both advanced logic and memory growing demand

San Diego, CA, April 5, 2021: TECHCET—the electronic materials advisory firm providing business and technology information—announces that the global market for atomic-layer deposition (ALD) and chemical-vapor deposition (CVD) metal precursors for semiconductor manufacturing in 2020 was worth ~US$640M. Driven by advanced logic and 3D-NAND memory chip fabrication needs, demand for ALD and CVD metal precursors is forecast to see a 2020-2024 compound annual growth rate (CAGR) of ~10% as shown in the Figure (below) from the most recent quarterly update to TECHCET’s Critical Materials Report (CMR) on CVD, ALD, and SOD Precursors.

In the short term forecast, TECHCET sees the global semiconductor market as very healthy with 15-20% Year-over-Year (YoY) growth in 2021 revenues, and overall critical materials market growth >7% YoY. The greatest material demand increases are seen in ALD/CVD metal precursors, and in specialty wet cleans that often are integrated with metal deposition and etching.
All ALD/CVD metal precursors are in healthy demand, since ALD is critical for fabs running 22-45nm nodes as well as for fabs at the leading edge. In particular, cobalt (Co) and hafnium (Hf) precursors saw 18-20% year-over-year (Y0Y) growth in 2020, and are forecasted to see strong demand through 2024. Ruthenium (Ru) metal is slowly replacing some of the Co and tungsten (W) interconnects on the most advanced logic chips, with anticipated precursor revenue growth to US$10M in 2025 for this highly strategic material.

ALD materials and Original Equipment Manufacturer (OEM) tools are needed for multi-patterning lithography used with Deep-UV (DUV) Immersion and with Extreme-UV (EUV) in leading logic and memory fabs. Multi-patterning typically uses low-temperature Plasma-Enhanced ALD (PEALD), either in clusters of 8-16 single-wafer chambers, or in “Spatial” high-throughput tools. TECHCET anticipates that fab investments in Asia will allow South Korean and Chinese OEMs to win near-term ALD orders, and they may soon compete with US, EU, and Japanese OEMs in the global tool market. For more information on the impact of global fab capacity expansions on the regional demands for critical materials, please contact TECHCET at [email protected].

Critical Materials Reports™ and Market Briefings: https://techcet.com/product/high-kald-precursors/

2021 Critical Materials Council (CMC) Conference, happening April 14-15 in virtual space, includes presentations on ALD/CVD : https://cmcfabs.org/cmc-conference-2021/

Back to top


March 25,2021

Semiconductor Materials Supply-Chain Shortages COVID-19 Fallout and More to Come from Chip Fab Expansions

San Diego, CA, March 25, 2021: TECHCET CA LLC’s prediction of a wet chemical supply fallout is materializing. The reduction of petrochemical refinery output that the Company has been tracking throughout 2020 combined with severe winter storms in Texas has been more than the supply-chain could support without interruptions. As TECHCET pointed out to its CMC (Critical Materials Council) members in the fall of 2020, the US wet chemical supply capacity and demand volumes are running so closely that any plant shut-down or appreciative increase in demand can cause a shortage.

Several semiconductor process materials in the petroleum supply-chain are running short because of lower overall oil refinery further impacted by the Texas snowstorm. Materials including acetone, PGMEA, NMP, and IPA, a few of several solvents, rely on the petrochemical refinery supply-chain. Specialty polymers used to make photoresist, and CMP pads are also part of this chain, although used in lower volumes than solvents. And last but not least, plastics production, required by high purity chemical providers for packaging and wet processing equipment, is experiencing raw material price increases due to availability issues.

In 2020, US petroleum refinery runs fell 2.3 million barrels/day (Each barrel represents 42 gallons of crude.) at par with the demand drop. US throughputs increased in December and early January but are likely to stagnate until a stronger demand recovery takes hold, which is expected toward the end of 2021.

At present, nearly 10% of US refining capacity is offline due to low demand, plant repurposing, or extended turnarounds. Many US refiners feel that the current demand is still not enough to support refining margins.

As the US and other parts of the world are coming out of the pandemic, demand is rising sharply relative to production, causing price escalation for feedstock chemicals, including polypropylene, acetone, and other solvents. Ethylene, a vital material for wet chemical containers and lines, is running at such low levels that both spot and contract prices have increased to a 6-year high, escalating 17% over the past two months. As explained by Bruce Lipisko, TECHCET’s Director of Business Development, “The price hike was sparked by the winter storm that hit southern Texas. However, in any normal year, this would not have been an issue. Inventory levels have been running so low, due to the pandemic, that when the storm hit, the supply-chain could not handle the demand and multiple producers declared force majeure. And now, this demand is increasing.” As refineries in Texas come back online, supply is expected to remain tight.

The problems for the US chemicals supply-chains will not rest for long. The US fab expansions expected over the next few years (which now include Intel, Samsung, and TSMC) will require a massive increase in chemicals. Current high purity chemical capacity is already tight with no new plants to support ultra-high purity chemicals; some chip fabricators must import ultra-high purity IPA from Asia.

The US issue is just one example of a semiconductor material supply-chain skating on thin ice. With inventories held low and few/no plans for future investment/expansion in wet chemical production, the semiconductor materials supply-chain is well-positioned for a major disruption.

TECHCET continues to track and assess material supply-chains worldwide. They will be releasing an in-depth report on the “Impact on Chip Expansion on US Wet Chemical Supply-Chain” by May 2021. For more information please contact [email protected] or call +1-480-382-8336, x103.

The 2021 Critical Materials Council (CMC) Conference, happening April 14-15 in virtual space, will also include coverage on this topic. Register here: https://cmcfabs.org/registration-2021/
Back to top


February 23, 2021

Photoresists Revenues to be Up 11% to US$1.9B

San Diego, CA, February 23, 2021: TECHCET—the advisory services firm providing electronic materials information—announces that the global market for photoresists needed in commercial semiconductor manufacturing is expected to be worth over US$1.9 billion in 2021. After pandemic-related slowdowns last year, leading semiconductor fabrication lines are now running at full capacities with steady growth expected for the next few years. The fab photoresist market is forecasted to grow 11% year-over-year (Yo) in 2021, as detailed in the latest Critical Materials Report™ (CMR) quarterly update on Photoresists & Ancillaries (see Figure).

With EUV use in commercial IC fabs now expanding from just advanced logic to include dynamic random access memory (DRAM) chips, the forecast for materials demand growth remains aggressive. ASML claimed capacity in 2020 to build 35 NXE:3400 series steppers, and with anticipated assembly efficiency improvements should be able to ship 50 EUV tools in 2021. Estimated EUV resist sales last year doubled to over US$20 million, and extreme growth in demand calls for these strategic materials to be worth over US$200 million in 2025.

Partly due to trade-wars, China’s 14th five-year-plan published at the end of October 2020 includes a priority to strengthen the country’s independent ability to control industrial supply-chains. Many Chinese states are providing significant funding to develop local production of high-tech materials, and Chinese materials suppliers are sampling photoresists, extensions, and ancillary materials.

This report covers the following suppliers: Avantor, BASF, Brewer Science, Dongjin Semichem, Dongwu Fine-Chem, DuPont (formerly Dow), Eastman Chemical, FujiFilm, JSR, Kempur, KMG (Cabot Microelectronics), Merck/EMD, Moses Lake Industries, Nissan Chemical, PhiChem, SACHEM, Shin-Etsu, Soulbrain, Sumitomo, Suntific, Tama Chemical, Tokyo Ohka Kogyo, and Versum.

Purchase Reports Here: TECHCET Photoresist and Ancillaries Reports
Back to top


February 17, 2021

CMP Consumables US$2.9B in 2021 for IC Fabs

High growth in demand for logic and memory 3D metal

San Diego, CA, February 17, 2021: TECHCET—the electronic materials advisory firm providing business and technology information—announces that the global market for chemical-mechanical planarization (CMP) consumable materials in semiconductor manufacturing is expected to grow over 13% year-over-year (YoY) to US$2.93 billion in 2021. CMP consumables including slurries, pads, and conditioning disks are all in high demand due to the need for more planarization steps with 3D devices, and supplies are stable despite COVID-19 pandemic disruptions. CMP materials revenues are forecasted to have a compound annual growth rate (CAGR) of 7.3% as shown in the table from TECHCET’s quarterly update on CMP Consumables: Slurry, Pads, and Conditioning Disks Markets for Semiconductor Applications report (below).

While there has been recent growth in demand for CMP on 200mm silicon wafers, most of the escalating demand today and for the near future is in CMP of metals on 300mm wafers. For logic chips, the largest demand segments are for copper (Cu)-bulk as well as Cu-barrier since the number of Cu interconnect levels on an advanced logic chip continues to increase, despite cobalt (Co) and ruthenium (Ru) gradually being used. For memory chips, increases in the number of layers in 3D-NAND chips drives continued rapid growth in demand for tungsten (W) slurry.

3D-NAND chips rely on W metal for reliable wordline contacts to staggered “staircase” pads within the many layers. Depending upon the manufacturing strategy 64-128 layers is the most that can be economically processed, such that commercial 3D-NAND fabs have to start stacking “tiers” of layer sets. Each additional tier requires additional CMP, so the demand for W CMP is increasing more than the silicon wafer starts.

The CMP consumables market is seeing increased focus on localization of the supply-chain, as global buyers re-examine their value-chains. South Korean giant SKC built a CMP pad manufacturing plant in Cheonan last year, and the plant is scheduled to be put into operation in the second quarter of this year.

Critical Materials Reports™ and Market Briefings: TECHCET Reports

CMC Events: CMC Conference 2021
Back to top


January 12, 2021

Semiconductor Materials $50B Market on a Strong Run in 2021

Pandemic pushing people to Work From Home and School From Home

San Diego, CA, January 12, 2021: TECHCET announced that global revenues for semiconductor manufacturing and packaging materials grew approximately 4% year-over-year (YoY) in 2020 to ~US$50B, and are expected to grow ~7% in 2021 to nearly US$54B. The COVID-19 pandemic has created strong demand for both logic and memory ICs to support Work From Home (WFH) and School From Home (SFH), and such demand is expected to increase despite expanding availability of virus vaccines. Also, demand for automotive ICs has recovered and will be an important driver in 2021 growth. The compound annual growth rate (CAGR) through 2024 is forecast at 5.3% as per the latest TECHCET Critical Materials Reports (CMR) and shown in the attached figure.

“Localism is an ongoing global trend, with China, the European Union, South Korea, Taiwan, and the United States all investing in electronic materials capacities due to embargoes and tariffs disrupting supply-chains,” said Lita Shon-Roy, TECHCET President and CEO. “Even without political disruptions, critical materials for the fabrication of advanced logic and memory chips such as cobalt and lanthanum have been in short supply due to competing industrial demands, and we expected tightening supply of silicon wafers in 2021.”

Such ramifications are tracked by TECHCET’s analysts, with Analysts’ Alerts emailed to CMR purchasers to help them anticipate and mitigate potential supply-chain disruptions. Excerpts have been publicly disclosed on the following critical materials (click here to read the full stories):
• IPA in “CMC Considers Bio-Solvents to Reduce Fab Risks”,
• HF in “Semi Wet Chemicals US$2B Market Threatened by Localization”, and
• Lanthanum in “Rare Earth Elements (REE) Supply Uncertain for IC Fabs”.

Any switch in material source triggers the need to re-qualify with cycles of test wafers and rigorous metrology, and similar data are needed during chip fabrication to ensure that material quality is under control. The public 2021 CMC Conference—happening April 14-15, 2020 in virtual space—is now soliciting presentations on themes surrounding semiconductor fab materials quality and metrology issues.

Critical Materials Reports™ and Market Briefings: TECHCET Reports

CMC Events: CMC Conference 2021
Back to top