2022 Speaker Biographies

KEYNOTE: John Whitman

My career in Semiconductors began in 1988 at Micron Technologies Boise, Idaho location. I have been blessed with the opportunity to work at several of Micron’s global sites and have spent 30+ years with this excellent company always learning and growing.

During the first half of my career, I gained knowledge and experience in multiple environments spanning various wafer diameters, memory types and facilities, focusing primarily on Mfg and R&D Photolithography Engineering.

In 2005 I joined the new fab startup with the Intel / Micron Joint Venture in Lehi, UT as the Lithography Department Manager, later moving to roles in site-based Cost Reduction and Procurement. In 2010 I was able to join the IM Flash Singapore new fab startup as the Fab & Site Director.

In late 2012 returned to Boise, Idaho to assist the R&D organization at Micron with their efforts in Manufacturing Development & later Process/ Equipment Development engineering, working across multiple memory platforms.

Late 2017, I was allowed the opportunity to join the Glocal Procurement organization as VP of the Front-End team. In 2020, my role was expanded to VP of Front-End Procurement and Operations Central Teams, This scope allows for greater synergies across all sites and supplier facing activities, In 2021, I was promoted to Corporate Vice President and am excited to continue my role leading the Front-End Operations Central Teams and Procurement.


George Alajajian

George Alajajian is the Vice President, Strategic Parts Supply Chain at Intel Corporation. He joined Global Supply Chain team in early 2020 and is responsible for shaping Intel’s spare parts supply chain network to deliver world class parts performance in terms of quality, availability, cost & cycle time to Intel’s Technology and High-Volume Manufacturing operations.

George has more than 25 years of industry experience, with 20+ years in senior executive positions in the semiconductor capital equipment industry. He has established a solid track record, by delivering step function improvements in operational performance and productivity. In addition, he setup and ran large scale / complex multifunctional (engineering, IT, manufacturing, supply chain and spares) teams, and successfully established geographically dispersed supply chain and business operations.

George joined Intel from Applied Materials where he served in various leadership roles, including Corporate VP, Global Operations and Component Engineering for Applied Global Services Group, Corporate VP Global Sourcing Organization. Prior to Applied, George was VP of operations for E-Beam Metrology Group at KLA-Tencor Corporation and Corporate Officer and Operations VP of Kevex Instruments. He holds a doctorate in Physics from Yerevan State University in the Republic of Armenia and MBA degree from Pepperdine University.


Nicolas Blasco

Nicolas has been working about 20 years in the advanced thin films deposition processes at Air Liquide Advanced Materials, since the ALD “early days”. He pioneered several of the Air Liquide successes in the field, and owns over 30 granted patents on innovative ALD precursors and processes, some of them having been massively adopted by the industry. Nicolas is an international fellow of the Air Liquide group and is now responsible for ALD activity in emerging markets at global level.

Anders Blom

Anders Blom is a specialist in applying atomic-scale modeling to advanced semiconductor devices. After receiving his PhD in theoretical physics from Lund University, Sweden, in 2003, he joined the start-up Atomistix and worked in several sales-related roles to develop the commercial market for atomistic transport simulations. He was then part of the small core group that founded QuantumWise in December 2008 to continue the activities from Atomistix. Taking the role of Chief Customer Officer, Anders headed the world-wide sales, marketing, and support team.

In 2017, Anders moved to the US as CEO of the newly formed subsidiary QuantumWise Inc., to strengthen and expand business there. Shortly thereafter, QuantumWise was acquired by Synopsys and the company became integrated in the TCAD division. As Senior Manager for Business Development, Anders now leads an international team of salespeople, and continues to focus on developing new solutions based on atomistic simulations to the benefit of Synopsys’ customers, who need state-of-the-art tools to study novel materials and develop cutting-edge device designs for the new era of nanoelectronic devices that will continue to push Moore’s law and more-than-Moore applications over the coming decades.


Jennifer Braggin

Jennifer Braggin is a member of the CTO’s Office at Entegris. While holding various roles intechnical marketing and engineering management at several companies, her career has focused on improving manufacturing yields, enhancing training efforts, and communicating technical achievements to international customers and partners. A lifelong learner, Jennifer is also a Lecturer at the Gordon Institute at Tufts University where she oversees the engineering management minor and teaches engineering management and leadership courses.

Michael Bristol

Mr. Bristol has more than 20 years of experience in the semiconductor and ceramics industries. As a Senior Product Manager at CoorsTek, he has been navigating the incredible surge in demand for Silicon Carbide (SiC) by developing both operational and commercial solutions to best serve customers. In addition to SiC, Mr. Bristol has been providing technical solutions to semiconductor end users which include alumina components, hermetic metal packaging, and high temperature cofired ceramic substrates.

He joined CoorsTek from Ametek Inc. where he served in a variety of roles and was challenged to solve unique back-end hermetic packaging challenges from component manufacturers. Previous to Ametek, he worked for NGK:NTK Technologies as a Sales Engineer. Mr. Bristol’s industry experience includes aerospace, defense, medical, optical, and back-end semiconductor, with a recent emphasis in front-end semiconductor. Mr. Bristol received his MBA from Worcester Polytechnic Institute, a BS in Mechanical Engineering from Washington University in St. Louis, and a BA in Mathematics from Cornell College.

While attending Cornell College, Mr. Bristol was a pitcher for the baseball team and continues his involvement in sports by volunteering as a coach for his children’s sports teams. He resides in Massachusetts with his wife and four children with whom he enjoys snowboarding and skiing.


Dr. Lihong Cao

Dr. Lihong Cao is a Director in ASE Group based on Austin, USA since 2016. She is responsible for advanced packaging technology development (2.5D/3D, FOCoS, SIP), technology promotion, new product introduction, strategic planning, and business engagement. She had led the engineering operation on the advanced SIP and SIM products qualification and production.

Her expertise and experience span from design, process development, qualification, and production enablement especially in HPC and AI/ML (Artificial Intelligence & Machine Learning).

Prior to joining ASE, as a Sr. Manager in AMD, she led advanced packaging technology qualification and global failure analysis teams to support product development, production and customer services for AMD several generation products since 2000.

Lihong received her Doctoral degree in Material Science & Engineering in Wuhan University of Technology and Research Associate Professor in Nanyang Technology University in Singapore.


John Currier

John has recently joined Intel’s Global Government Affairs (GGA) Team as the Director of US Environment and Sustainability Policy. John’s primary areas of focus are environmental and chemical regulation, but also extend to climate change policy and other similar areas such as Environmental Social Governance (ESG) regulations that impact Intel’s US manufacturing sites.

Prior to joining GGA, John has spent 22 years at Intel, most recently as a program manager overseeing Intel’s compliance with the US EPA’s Toxic Substances Controls Act (TSCA) as well as leading a team responsible for developing an industry-leading chemical management program. During this recent tenure, John also worked with Intel’s Government Affairs Team, coordinating policy, legal and technical decisions associated with enabling Intel’s chemical roadmap. In these roles, John has been Intel’s representative to multiple industry associations and engaged regularly with the US EPA.

John other professional experience at Intel includes managing Facilities Engineering Teams, acting as the Global Wastewater Owner for Environmental Health and Safety, and working as a systems engineer on wastewater treatment systems.

Prior to joining Intel, John held multiple roles as a chemical/process engineer for the Tennessee Valley Authority, supporting 11 power plants, primarily in the areas of ultrapure water, and metallurgical failure analyses.

John’s educational background includes a BS in Chemical Engineering from North Carolina State University and a Master of Science in Engineering Management.


Gregory Denbeaux

Greg Denbeaux received his BA degree in physics from Wesleyan University in 1993. He studied free electron lasers and x-ray microscopy for his PhD from Duke University in 1999. He was a staff scientist at Lawrence Berkeley National Laboratory until becoming faculty at the College of Nanoscale Science and Engineering, Albany, New York. Currently, he is an associate professor at SUNY Polytechnic Institute and studies fundamentals of photoresists including stochastic effects, outgassing, and secondary electron interactions. He also has a research program in nanoparticle detection, quantification, identification and transport, all aimed at defectivity reduction in semiconductor manufacturing. He has published over 200 papers on this research which have been cited over 2,500 times. He has organized the IEUVI Resist Technical Working Group (TWG) for the last few years.

Tim Dyer

Timothy is the President of Elcon Precision in San Jose, CA and has over 25 years’ experience working in technical ceramics and capital equipment. Elcon Precision is a Silicon Valley materials science-based precision component fabrication company serving the medical, defense, and energy markets. Elcon precision specializes in ceramic to metal assemblies and photochemical machining of refractory metals. Prior to Elcon, Timothy worked at Enovix Inc, making compact 3D silicon MEMS-based lithium-ion batteries for wearable electronic devices. He was also Chief Technology Officer for Energy Recovery Inc, Director of Technology at Morgan Technical Ceramics, Ltd and Manager of Laser Chamber Technology Development at Cymer Inc in San Diego, Timothy has also held management and engineering positions with Speedfam-IPEC and Applied Materials Inc. At Applied Materials, Timothy was part of the team that developed the Ultima HDP-CVD reactor and corresponding high-power ESC. He holds a bachelor’s and master’s degree in Materials Science and Engineering from the University of California, Davis. Timothy currently holds 34 US patents and has published numerous technical papers that have helped shape best practices within the technical ceramics and material science fields.

Jeff Gambino

Jeff Gambino, Ph.D. has been with onsemi, Gresham, OR, since 2015. He is currently working on CMOS image sensors and high voltage semiconductors.

Prior to joining onsemi, Dr. Gambino was a staff engineer at IBM. During his 31 years at IBM, Dr. Gambino developed silicide processes, contact and interconnect processes for 0.25-, 0.175-, and 0.15-um DRAM products, and processes for copper interconnects, CMOS image sensors, RF devices, and 3D integration.

Dr. Gambino received a B.S. degree in materials science from Cornell University, Ithaca, NY, in 1979, and the PhD degree in materials science from the Massachusetts Institute of Technology, Cambridge, MA, in 1984. He has published over 200 technical papers and holds over 500 patents.)


Christoph Hemmann

Christoph Hemmann was named Head of Airfreight, America for DB Schenker in July of 2021. Based in Miami, he oversees a team of 1500 persons and jointly manages the portfolio of DB Scheneker’s airfreight business and operations in the Americas Region.

In this position, Christoph’s responsibilities include developing and implementing airfreight strategies. More specifically, he oversees preferred carrier relationship management, negotiations, and procurement across North and South America.

Prior to joining the Regional Americas, Christoph was in Frankfurt, Germany, where he was the SVP Global Revenue Management Airfreight for Schenker AG. There, he was responsible for continuous top and bottom line results of global airfreight customers and the development of airfreight solutions as well as yield management.

A proven force leading Schenker global healthcare structure and product management, Christoph joined the global logistics provider with more than 8 years of experience in various airfreight roles in the freight forwarding industry. He resides in Miami with his wife and 3 year old daughter.


Dr. Hemanth Jagannathan

Dr. Hemanth Jagannathan is a Hardware Technologist and Principal Research Staff Member at IBM where he conducts research on advanced semiconductor hardware technology.

Dr. Jagannathan has driven many key technical advancements for several generations of semiconductor technologies ranging from planar, FinFET to stacked Nanosheet and beyond nanosheet device architectures. He was part of the research team that led the introduction of high-k metal gate technology for both IBM and its partner companies along with the innovation of novel gate stack materials and processes for advances CMOS technology nodes. He also managed the advanced semiconductor FEOL process technology team that was responsible in defining the comprehensive semiconductor strategy for beyond planar/FinFET/Nanosheet technology. He has been the Hardware Technologist and technical manger leading the innovations for the VTFET program at IBM.

Dr. Jagannathan also serves as an IBM representative in multiple academic engagements across the US. He is the executive director for the SUNY-IBM Artificial Intelligence Collaborative Research Alliance where he oversees joint AI research ranging from hardware, algorithms to software and applications.

Dr. Jagannathan is the recipient of multiple IBM Outstanding Technical Achievement Awards and Research Division Awards for these technical accomplishments. He is a senior member at IEEE and a member-at-large in the Electronics and Photonics Division and Dielectric Science and Technology Division of the Electrochemical Society. He has published over 75 papers and is an IBM Master inventor holding over 100 US patents. He received his Ph.D. in Electrical Engineering from Stanford University.


Keita(Justin) Johno

l Laminate Materials R&D Dept. l got my master's degree in engineering from Tokyo Institute of Technology, Tokyo, Japan, in 2010, and l got my Bachelor's degree in engineering from Gunma University, Gunma, Japan, in 2008. l mainly studied analytical chemistry at University, 2007-2008. l studied organometallics chemistry at University, 2008-2010, and l worked in the field of resin design and polymer synthesis for organic substrate and MLB material, 2010-2019. l work as a field engineer in America.

Chris Jones

Chris Jones is a PhD qualified chemist and Edwards' Environmental Solutions Business Development Manager. He has spent his career developing the understanding of sustainability of process developers, tool designers, and factory operators. He has extensive experience developing waste treatment processes to ensure regulatory compliance within the semiconductor, nuclear, military, and pharmaceutical industry sectors.

Pratik Joshi

Pratik has been working in the semiconductor industry for over 16 years. He has worked in various areas of semiconductor business, including technology research and development, technology transfer and high volume manufacturing. His expertise are in thin film development / characterization, and process integration. His career experience is comprised of positions with IBM T. J. Watson Research Labs, IBM East Fishkill and Samsung Austin Semiconductor (SAS). He has 15+ publications and 9 patents. He had served as a peer reviewer for Applied Physics Letters (APL), and has given invited talks at ASMC, MRS, AVS, TMS, SMC, CMC and SEMICON. At Samsung Austin Semiconductor (SAS), he is currently serving as an executive technical advisor; responsible for defining overall FAB quality strategy and execution. He drives multiple cross functional projects to improve customer product quality and communicates with FAB customers frequently. Currently, he is serving as a chairman of the SAS invention review committee. He is also a committee member for ASMC, and serves on the Industrial Advisory Board (IAB) for Texas A&M University, Materials Science Department. He had also served on Executive Committee for the Cedar Ridge High School STEM program in Austin, TX.

Subhadeep Kal

Subhadeep Kal is a principal process engineer in Tokyo Electron America. Subhadeep works on etch and integration process optimization for logic and memory applications. He is especially focused on etch challenges and device optimization for nanosheet based GAA device architectures. He graduated with a BS-MS from Indian Institute of Technology (Kharagpur, India) and completed his Ph.D. from Rensselaer Polytechnic Institute (USA). He has 20+ patents from his time in TEL, and has published several peer reviewed papers during his career. He also received 2020 TEL CEO award for his work on Nanosheet devices.

Jason Kim

Jason Kim is a Senior Development and Integration Engineer in R&D department at ECI Technology. He works closely with the chemists and design engineers to complete customers’ requirements for advanced chemical monitoring and management systems. He brings 10 years of experience in materials science, process integration and process engineering in the semiconductor industry to his role. Prior to joining ECI Technology, he worked on development of wet etch/clean processes in advanced nodes at Intel and GlobalFoundries. He earned a Ph.D. in materials science and engineering from University of California, Los Angeles (UCLA).

Kutup Kurt

Kutup Kurt is Head of Operations of Digital Solutions at the Electronics business of Merck KGaA, Darmstadt, Germany. His organization is a key enabler to design and optimize products by using data analytics methodology in R&D, quality, and supply chain while ensuring data protection in sensitive environments. After gaining a bachelor´s degree in Chemical Engineering and Business Administration in Turkey, Kutup received a Master’s degree in Industry 4.0 Technologies in Germany at the TU Dortmund University. He also gained his Ph.D. in Chemical Engineering at the same university. He and his team led several data-driven process optimization projects worldwide for Electronics and Life Science business sectors. He has co-authored over 16 technical papers and patents focused on smart and continuous manufacturing technology, equipment design, and process intensification.

Kyusang Lee

Kyusang Lee is currently an CEO/CTO of Future Semiconductor Business, also the Assistant Professor of Electrical and Computer Engineering and Materials Science and Engineering departments at University of Virginia. He received his B.S. degree from Korea University in 2005, M.S. degree from Johns Hopkins University in 2009, and Ph.D. degree from University of Michigan in 2015, all in Electrical Engineering. He was a postdoctoral fellow in the Department of Electrical Engineering and Computer Science at the University of Michigan, and a postdoctoral associate in the Department of Mechanical Engineering at Massachusetts Institute of Technology (MIT). His research interests highlight the epitaxial growth and use of thin-film compound semiconductors. He is the recipient of the NSF career award, best presentation award at the IEEE 38th Photovoltaic Specialist Conference and the UMEI postdoctoral fellowship.

Shari Liss

Shari Liss has more than 25 years of experience supporting education, career awareness and workforce development throughout the technology industry. She is currently the Executive Director of the SEMI Foundation. The foundation focuses on Workforce Development programs and Diversity, Equity & Inclusion initiatives supporting more than 2200 companies within the microelectronics industry. She was formerly the CEO of Ignited, one of the nation’s most successful STEM teacher professional development organizations. Their work connected companies to classrooms throughout Silicon Valley and gave over 4,000 teachers and 3.2 million students more insights into the skills and experiences needed to succeed in STEM-oriented professions and companies.

Prior to Ignited, Shari was a teacher and curriculum developer, serving in a variety of positions with a focus on mathematics and at-risk students. She has developed an alternative school on the East Coast serving at-risk high school students, created curriculum for NASA and established a mathematics program for both gifted and challenged students in the San Francisco Bay Area.


Chris Milasincic

Chris is currently the Global Marketing Director for HD Microsystems ™ a 50/50 Joint Venture company of DuPont Electronic Materials and Showa Denko Corporation. He has been in the field of Polyimides for over 25 years in a variety of roles such as, a manufacturing engineer making novel Kapton Films, Intellectual Property Attorney for Kapton/Pyralux/Riston groups, Global New Business Development Manager for HD Microsystems and as a Business Planning Manager for DuPont Electronics. Chris has a bachelor’s degree in Chemical Engineering from Penn State University and a Law Degree from Widener University School of Law in Delaware.

Micah Miranda

Micah Miranda is the City of Chandler Economic Development Director. Chandler is home to some of the most notable firms in manufacturing, technology, financial and business services that are supported through distinct employment corridors, superior infrastructure and a concentration of highly educated workers. Its long history of embracing the entrepreneurial spirit and large concentration of “idea industry” workers makes Chandler a destination for leading-edge companies and innovative thinkers.

Micah works closely with the Mayor and City Council, City Manager and staff from city departments to facilitate economic development projects that enrich the business operating environment. He leads Chandler’s economic development division whose focus is to enhance a thriving economy through business attraction, retention, entrepreneurial development, innovation and tourism.

Micah has extensive experience in municipal and state economic development organizations as a leader, manager and professional. His experience executing the strategic vision of City Councils and managing the operations of economic development offices is exemplified through his intense focus on collaboration to achieve results.

Micah is a proud University of Arizona graduate. He is an active member of the Arizona Association for Economic Development and International Economic Development Council. In these roles, Micah continues his commitment to outreach, education and community service as a leader in economic development.


Mario Morales

Mr. Morales is an accomplished program vice president, manager, and industry expert with over 25 years of experience in building a multinational top-tier consulting, sales, and research team and driving a set of established businesses. Solid experience in managing strategic partnerships and advisory services with IDC's largest multinational clients. Strong analytical, strategic planning skills, and managing complex projects involving strong collaboration across geographies, functional groups, and business units. Proven leadership skills and instrumental at establishing research and business KPIs for his division.

Mr. Morales is a trusted advisor to leading high tech company executives, financial investors, and bankers on market landscape and direction, product and technology positioning, competitive benchmarking, M&A, HW, and SW technology, and brand health and sustainability. Established relationships with technology suppliers including Intel, Samsung, TSMC, Qualcomm, Huawei, HP, AMD, NVIDIA, Microsoft, META, TI, Micron, UMC, SoftBank, ARM, NXP, and others.

Mr. Morales is the leading advisor and expert analyst for IDC's largest Wall Street clients including investment banking, VC's, and mutual and hedge funds across every major financial region.

Over his career, Mr. Morales has authored and co-authored over 240 reports and studies in the area of semiconductors, mobile, PC, wireless, embedded, IoT, and IT marketplace. Embedded processors and controllers, AI ML architectures, cellular baseband modems, WiFi, cellular broadband, digital consumer, foundry, EMS, and intelligent systems.


Maksym Plakhotnuyuk

Dr. Maksym Plakhotnyuk is an entrepreneur and scientist. He holds a PhD in Nanotechnology and Photovoltaics from the Technical University of Denmark (DTU), a MSc in Electrical and Computer engineering from University of Illinois and a BSc and MSc in Microelectronics from the Vinnytsia National Technical University. Maksym is an enthusiast of nanotechnologies, renewable and exponential technologies with deep knowledge of photovoltaics, semiconductor processing, solid state physics and material science. He is experienced with technology development, project management and mentorship, and counts with a documented history of excellent results with the development of innovative ideas in the field of nanotechnology. As the co-inventor of ATLANT 3D Nanosystems and 7 patents, co-author of several scientific publications and co-founder of 3 startups.

Risto Puhakka

Risto Puhakka is President of VLSIresearch, leading the company’s commercial operations and market research activities. He is an expert in Semiconductor Capital Equipment markets as well as Semiconductor Manufacturing. Risto advises managers, boards, and investors about semiconductor market trends and strategic industry statistics. He is a regularly invited speaker at conferences about various topics in semiconductor manufacturing and equipment markets.

Risto is a graduate of Helsinki University of Technology (MSc) and UC Berkeley, Haas School of Business (MBA). When Risto is not working he cherishes time with his family, runs very long distances, and is occasionally spotted flying model airplanes.


Rama Puligadda

Ms. Puligadda earned a Master of Science in Chemistry from the Indian Institute of Technology (IIT), Delhi, India (1990), a Master of Technology in Polymer Science and Technology from IIT Delhi, India (1992), and a Master of Science in Chemical Engineering from the University of Cincinnati (1995). Rama began her career at Brewer Science in 1995 as a Research Chemist. She has led and managed several programs at Brewer Science including product development projects and collaborative work with partnering companies, universities, and research institutions. She now serves as the Chief Technology Officer providing leadership for the design, development and engineering of innovative solutions for the semiconductor industry.

Jim Reed

Jim Reed is VP of Okmetic’s North America Sales and President of Okmetic Inc. Reed has been with Okmetic since 2010, and he has over 30 years’ experience in the Semiconductor and the Silicon Industry. Prior Okmetic Reed held several management positions at Texas Instruments and MEMC related to Process and Equipment Engineering, Quality Assurance Management, Operations, and Sales and Marketing. Reed has a M.Sc. degree in Materials Science and Engineering. He also holds a patent for a semiconductor barcode reader system.

Dr. Rob Rhoades

Dr. Robert Rhoades is the Co-Founder, President and CTO of X-trinsic. He earned a Ph.D. in Electrical Engineering from the University of Illinois in 1992. His background includes 2 years at Oak Ridge National Laboratory researching high density plasma technology followed by 3 years at Motorola as a senior process engineer leading the early efforts to bring CMP into manufacturing. In 1997, he joined a small slurry company, Solution Technology, Inc, which was later acquired by Rodel, Inc (now part of Dupont). During five years at Rodel, he led the global process team and managed multiple applications labs in the USA and Asia. In 2002, Dr. Rhoades joined Entrepix as CTO and helped launch a new business model providing process and equipment services for customers using CMP for everything from R&D prototypes through volume production on virtually any material. In 2017, he joined Revasum, a polisher and grinder OEM based in San Luis Obispo, CA, where he served as CTO and led development efforts for advanced polishing and grinding equipment, particularly focused on ultrahard materials such as silicon carbide. Dr. Rhoades is a named inventor on more than 12 patents or patent applications and has authored more than 110 technical publications and conference presentations.

Nicole Rutherford

Nicole Rutherford is a Product Manager at Greene, Tweed & Co. (2020 to present) where she is responsible for the strategy, product roadmap and customer engagements for coatings to global markets for the Chemraz business unit. She previously managed a portfolio of thermoplastic, composite, ECD wafer sealing products and coatings for the Greene, Tweed Semiconductor business unit (2016-2020). Prior to Greene, Tweed her roles were Phosphor Materials Product Manager targeting display backlighting and general lighting applications at Intematix (2011-2016), Program Director managing contract development work, installation and implementation of high productivity tool sets at Intermolecular (2006-2007), and Product Manager at Vitex Systems responsible for product development, commercialization, applications support, and sales for an ultra-barrier-coated plastic substrate product for OLED displays (2000-2006). She also served two separate stints at Honeywell (formerly Allied-Signal) in various roles developing and bringing spin-on, spray coated & ink jet printed materials to semiconductor, optoelectronic and solar markets. Nicole has an MBA from Santa Clara University and a PhD in Chemistry from UC Berkeley.

Lita Shon-Roy

Lita Shon-Roy – President/CEO and Founder of TECHCET—has worked throughout the semiconductor supply chain, leading strategy, business development, marketing and sales for chip designers, equipment OEMs, and material suppliers for over 30 years.

Her experience spans from process development of memory chips to business development of gases & precursors. She developed new business opportunities for companies such as RASIRC/Matheson Gases, Air Products & Chemicals, and IPEC/Speedfam, and managed marketing and sales in companies such as Air Products/Schumacher, Brooktree/Rockwell, and Hughes Aircraft.

Ms. Shon-Roy is considered one of the leading experts in electronic materials market analysis and business development. She has authored and co-authored 100’s of articles, reports and texts on semiconductor process materials markets, trends, and worldwide supply chain issues, and has been quoted in the Wall Street Journal and featured on BBC News. She holds an M.B.A. from California State University, Dominguez Hills, a M.S.E.E. focused on Solid State Physics from the University of Southern California, and a B.S. in Chemical Engineering from UC San Diego.


Stan Smith

Stan leads Linde’s global Electronics Gases R&D team in the identification, development and commercialization of new, high value semiconductor process gases and materials. His current focus includes advanced etch & patterning, advanced deposition materials, ion implantation and rare gases / isotopes.

Prior to joining Linde in 2007, Stan led semiconductor manufacturing process and product development at Texas Instruments and Cabot Microelectronics. He holds 6 granted patents and is an author/co-author of over 14 peer reviewed papers and presentations.

Stan holds a B.S. in Chemical Engineering, and M.S. and Ph.D. degrees in Materials Science and Engineering, all from North Carolina State University.


Sami Sneck

Mr. Sneck, Vice President, Advanced ALD at Beneq, joined Beneq in 2005. Since then, he has held various professional and management positions at Beneq, covering positions in product management, application development, business development, sales and business management. He also spent 2 years in Shanghai, China. He received his MSc degree in Chemical Engineering in 2001 from Helsinki University of Technology. Mr. Sneck has special expertise in Atomic Layer Deposition technology and business development. He has played a vital role in introducing various ALD production concepts and solutions to several industries ranging from jewelry to photovoltaics, optical coatings and semiconductor industry.

Jeffrey Spiegelman

Jeff Spiegelman is a serial entrepreneur whose technical vison has proved instrumental in commercialization of Blue LEDS, DUV lithography, and nanoscale thin films to be used in three dimensional semiconductor structures. He has founded and sold two semiconductor equipment companies. He holds over 45 patents and has over 75 technical publications. Presently, he is the CEO of RASIRC which develops and manufactures chemical generation equipment for atomic layer deposition. He has a Master’s in Applied Mechanics and Bachelors in Bioengineering from UCSD.

Matthew Stephens

Matthew D. Stephens, co-founder and CEO, brings 25 years' experience commercializing advanced materials and green technologies. Prior to co-founding Impact Nano, Matt was VP Sales and Products at Air Liquide Advanced Materials and held C-level leadership roles at Voltaix and Metem. Matt has a Ph.D. in Chemistry from U. Wisconsin and an MBA from INSEAD in Singapore. He started his career as an industrial research scientist and is a co-inventor of over a dozen U.S. patents.

David Thompson, PhD

Accomplished leader operating at the intersection of chemistry, chemical engineering, and materials science. Strongly engages with customer technology development organizations to prioritize and deliver new products. Anticipates future customer needs and builds R&D programs with a successful track record of commercialization and strong adoption. Leads and builds high performance teams. 75+ granted US patents in thin film hardware, deposition/etch chemistry, and integration.

Specialties: Leadership, innovation, product commercialization, project management, semiconductor materials, thin films, adsorption, ALD, CVD, deposition, organometallic/inorganic chemistry, thermodynamics, chemical delivery, collaborations


Dan Tracy

Dan P. Tracy, Ph.D. is TECHCET’s Sr. Director of Market Research, expert in materials market analysis with a focus on sputtering targets, deposition and packaging materials. He has over 30 years of market analysis experience covering semiconductor packaging, thin films, semiconductor process equipment, and semiconductor materials. He has particular expertise in analyzing dependencies between equipment and materials, and between the front- and back-end of the semiconductor industry, including insights pertaining to LEDs, MEMS, and sensors. Prior to TECHCET he led the Industry Research & Statistics group at SEMI as Sr. Director and worked for Rose Associates covering electronic materials as a Sr. Analyst. Additionally, Dan has a background in packaging engineering from National Semiconductor He holds a Ph.D. in Materials Engineering from Rensselaer Polytechnic Institute, a M.S. in Materials Science & Engineering from Rochester Institute of Technology, and a B.S. in Chemistry from SUNY College of Environmental Science and Forestry.

Ram Trichur

Ram Trichur is the global head of semiconductor packaging segment and head of strategy for consumer electronics assembly in India at Henkel. He is responsible for the key strategic and financial objectives for this segment. He has around 20 years of experience in the microelectronics industry covering both the front-end manufacturing and backend assembly processes. He has 3 patents and has published more than 40 publications and articles in leading conferences and industry magazines. He received his master’s degree in Electrical Engineering from University of Cincinnati and completed his executive education in business management from Stanford University’s Graduate School of Business.

Karl Urquhart

Karl Urquhart is Director of R&D and the Chemical Product Technology manager for DFS. He is an innovative process engineering professional with 37 years of experience in the Advanced Electronic, Chemical Processing, and Semiconductor industries.

Diversified Fluid Solutions (DFS) specializes in Ultra High Purity (UHP) chemical and gas systems for the semiconductor industry. Product portfolio includes blending, and delivery equipment for all required applications in gas, precursor materials, aqueous chemicals, and CMP slurries. DFS engineer and manufacture precise, reliable, and space efficient systems with advanced monitoring and control through a state-of-the-art user interface.


E. Jan Vardaman

E. Jan Vardaman is president and founder of TechSearch International, Inc., which has provided market research and technology trend analysis in semiconductor packaging since 1987. She is the author of numerous publications on emerging trends in semiconductor packaging and assembly. She is a senior member of IEEE EPS and is an IEEE EPS Distinguished Lecturer. She received the IMAPS GBC Partnership award in 2012, the Daniel C. Hughes, Jr. Memorial Award in 2018, the Sidney J. Stein International Award in 2019, and she is an IMAPS Fellow. She is a member of MEPTEC, SMTA, and SEMI. Before founding TechSearch International, she served on the corporate staff of Microelectronics and Computer Technology Corporation (MCC), the electronics industry’s first pre-competitive research consortium.

Dalia Vernikovsky

Dalia Vernikovsky is a highly accomplished senior executive with more than 30 years of success within semiconductor manufacturing prowess (and related industries), problem-solving and strategic thinking .

Currently, Dalia serves as Chief Executive Officer with Applied Seals NA Inc. in Fremont, CA, a role that brings to light her ability to develop the strategies required to develop a start-up with a strong sense of responsibility to her stake-holders as well as risk-mitigation yet drive towards the vision she created. Her skills create strong blueprints for sales and marketing, networking, and customer acquisition.

In addition to her executive career, Dalia serves as Head of the SEMI SCIS Committee (and developed the first of its kind Seal Standard for seals) and chairs the Leadership Forum for all Sub-components- a program recognized as a paradigm shift in this area and for which she was recognized as a star contributor and induction to the Hall of Fame for 2019. Dalia has been recognized for her success in managing a difficult development program and received personal recognition with a special award from Intel. In addition, she has multiple Leadership Awards and for Women in Technology (WITI. Dalia sits on the Advisory board of both ITPC as well as Kyto, a Silicon Valley Initiative. Dalia is on the Board of Directors for OHS with initiatives for both animals and human rehabilitation.

Dalia is the author of the book: Reflections III: The Magic beyond the Pain. an additional book into the insight of Business Acumen in development.


Yu-Tao Yang

Mr. Yu-Tao Yang is currently pursuing his Ph.D. degree in the department of Electrical and Computer Engineering, University of California, Los Angeles (UCLA) under Prof. Subramanian Iyer. His research focuses on the cryogenic packaging for quantum computing and heterogeneous integration.
He received his M.S. degree in the Department of Electronics Engineering in 2017, and B.S. degree in undergraduate honors program of nano science and engineering in 2016, both from National Chiao Tung University (NCTU), Hsinchu, Taiwan.

Jay Zhang

Dr. Jay Zhang is currently Business Development Director at Corning’s Precision Glass Solutions business. Dr. Zhang received his Ph.D. in Applied Physics from Yale University and his MBA from Cornell University. Dr. Zhang joined Corning in 2000 and has been in various technology management and leadership roles with Corning’s Science and Technology and Specialty Materials divisions. In the last five years, Dr. Zhang has led Corning’s glass wafer development programs aimed at serving the needs of fan-out wafer level packaging, advanced wafer thinning, as well as using glass wafers in a Si fab.