2024- TECHCET News



April 24, 2024

Semiconductor Wet Chemicals Growth Underway

Suppliers remain “expansion-ready” for incoming fabs

San Diego, CA, April 24, 2024:  TECHCET — the advisory firm providing materials market information for semiconductor supply chain resilience — is anticipating an 8% rebound in the semiconductor wet chemicals market in 2024, which will hit US $5.5 billion. The future ramp in wet chemicals will be largely driven by growth in chemical consumption from leading-edge device technology, especially as expansion in 3DNAND layers ramp to 5XXL layer count, as highlighted in TECHCET’s Critical Materials Report™ on Wet Chemicals.

The dynamics of the US chemical supply are progressively changing as expansion activities ensue. TECHCET is forecasting a jump in the US domestic share of the semiconductor material market up to 13%-15% by 2027, as support grows for incoming fab expansions. While this outlook is looking generally positive for the US semiconductor industry, uncertainties with timing expansions have made it difficult for suppliers to plan effectively, and CHIPS Act funding does not seem to be helping as much as initially anticipated. Fabs and equipment manufacturers remain the priority of CHIPS Act funding, while material suppliers see the Notice of Funding Opportunity (NOFO) as offering little support.

US expansions appear to be slowing down based on TSMC’s delay announcement to 2025, alongside delays by Intel and Samsung. Noting this, many suppliers remain “expansion-ready,” and are just awaiting continued progress and demand signals from chip manufacturers. To hear more on this topic, Kevin McLaughlin, Sr. Director at TECHCET, will speak on “The Intersection of Semiconductors and Governments: Increased Funding, Support…and Regulations” at the Surface Preparation and Cleaning Conference (SPCC) on April 25, 2024.

Investments are evident from Japan, Taiwan and Korea in new chemical facilities and expansions both regionally, external in Asia Pacific, and in the US. In Europe, major players like Intel and TSMC are also building new fabrication plants, increasing demand for wet chemicals.

For the full table of contents and to purchase the Wet Chemicals Critical Materials Report™, visit https://techcet.com/product/specialty-cleaning-chemicals/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


April 3, 2024

Semiconductor Metal Plating Chemicals to Top $1 Billion

Growth Driven by Advanced Logic Nodes and Advance Packaging

San Diego, CA, April 3, 2024:  TECHCET — the advisory firm providing materials market information for semiconductor supply chain resilience — is forecasting Metal Plating Chemicals to grow 7% in 2024, to reach over $1 billion. This is a significant jump from the -6% dip to $947 million in 2023. The Compound Average Growth Rate for these metal plating chemicals is expected to exceed 5.4% through 2023-2028. Growth drivers include increased use in advanced packaging, such as redistribution layers (RDL) and copper pillar structures, and higher layer counts in next generation logic devices, soon to be followed with buried power rails and backside copper wiring, as highlighted in TECHCET’s Critical Materials Report™ on Metal Chemicals.

TECHCET believes the first quarter of 2024 will continue to see slow growth in semiconductor device production, followed by steady improvement through the remainder of 2024. In 2H2024, higher device demand is expected due to growth of numerous applications (electric cars, more fast charging stations, AI, more data storage, etc.) in combination with the US CHIPS Act and similar investments by Europe, Japan, and China. These will drive an increase in demand for metal interconnect layers and more advanced packaging materials.

Advanced Packaging requirements continue to be driven by increases in Wafer Level Packaging (WLP), including Fanout WLP (FOWLP) packaging. In advanced packaging for high-performance devices, there is increased need for RDL, interposers, and silicon bridge technology. Heterogenous integration, embedded multi-die interconnect bridge (EMIB), Chiplets, and power devices are expected to challenge the plating requirements in terms of quality of material being deposited.

TECHCET does not expect new players in the plating chemicals market and is following the introduction of ruthenium or molybdenum to possibly displace the tantalum and cobalt barrier layer at the GAA nodes. Ruthenium or molybdenum (ALD or CVD, not plating) will possibly fill the interconnects & vias between Metal 0 (M0) to Metal 2 (M2) interconnect layers for Advanced Logic. Wafer backside power wiring, using buried power rail contacts to the transistors, will add copper plating volumes to the process, thus leading to more copper plating, even as these replace copper plating at the M8-M14 layers.

For the full table of contents and to purchase the Metal Chemicals Critical Materials Report™, visit https://techcet.com/product/metal-chemicals-for-fe-advanced-packaging/

To get more info on the semiconductor materials market and supply chain, register for the virtual stream of the 2024 Critical Materials Council (CMC) Conference. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


March 14, 2024

Global Semiconductor Materials Market Set to Achieve Record Highs

San Diego, CA, March 14, 2024:  TECHCET — the advisory firm providing materials market information for semiconductor supply chain resilience — is forecasting a rebound in the global semiconductor materials market this year. After experiencing a year-over-year decline of 6% in 2023 due to a sluggish overall semiconductor industry environment, 2024 is poised to add nearly 7% as conditions turn favorable.

TECHCET anticipates revenue growth in the semiconductor materials sector throughout a 5-year period, with annual sales in 2028 forecasted to exceed $88 billion USD.

The semiconductor materials industry in 2023 faced instabilities as demand for consumer-based end products decayed, while trends in increasing semiconductor value content in the automotive sector remained strong. “Growth in the automotive industry included expanded integration of new materials such as SiC and GaN to further power functionality”, stated Mike Walden, TECHCET’s Sr. Director. Additionally, the year witnessed the industry shifting resources to address technology upgrades, an approach increasingly embraced during periods of broader slowdown.

Although the backdrop of 2024 has some persistent issues from the downturn (i.e., geopolitical tensions and uncertainty in inflationary pressures), pervasive drivers are moving the materials industry back into favorable conditions. Technology development work will result in increased demand for advanced materials and processes, including metal organic precursors, CMP consumables, advanced packaging materials, and cleaning chemistries among others. An even stronger uptick in demand is expected by 2025 when chip expansions blossom, driven by AI, and ever-expanding 5G infrastructure. Regulatory/policy developments and industry sustainability/circular economy initiatives represent wildcard opportunities for the future.

For more details on segmented forecasting for the Semiconductor Materials Markets & Supply Chains, including ALD/CVD Precursors, Wet Chemicals & Specialty Cleans, CMP, Electronic Gases, Silicon Wafers, Packaging Materials and more, go to: https://www.techcet.com

To get additional expert perspectives on the semiconductor materials market and supply chain, do not miss the 2024 CMC Conference in Chandler, AZ on April 10-11. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


February 29, 2024

Silicon Wafers Market – Growth on the Horizon 2024-2028

Wafer shipments expected to pick up in late 2024

San Diego, CA, February 29, 2024:  TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — is forecasting a 5% increase in total wafer area shipments in 2024, with an additional jump of 7% in 2025. Through the 2023-2028 forecast period, total wafer shipments are forecasted to grow at a >4% CAGR as 300mm growth continues to outpace other diameters, resulting in total shipments approaching 16 billion square inches by 2028, as detailed in TECHCET’s Critical Materials Report™ on Silicon Wafers (https://techcet.com/product/silicon-wafers/).

By 2029, the market is set to eclipse the US$900 billion point, but the elusive US$1 trillion echelon is not predicted by TECHCET to be reached until 2031 or 2032.

In 2023, the slowing of overall semiconductor industry conditions, paired with existing high inventory levels, led silicon wafer shipments to decline by approximately -13%. This contraction was the first annual shipment drop since 2019. However, the 2023 revenue decline in the wafer market (excluding SOI) was not as pronounced, with pricing provisions under Long-term Agreements (LTA) persisting.

Wafer shipments are expected to increase gradually this year as the supply chain is still grappling with elevated inventory levels from 2023. TECHCET is forecasting improvement in the second half of the year, as wafer shipment resurgence will likely lag recovery of semiconductor device production by a quarter or two. However, as wafer inventory levels correct and fab wafer start production rises, supplier shipments will again increase. Furthermore, strong forecasted growth for memory in 2024 will also help to correct the wafer inventory situation.

Current industry conditions have slowed some growth of wafer production capacity worldwide. However, with LTAs in place and new ones being negotiated, wafer suppliers are expected to boost output capacity to address increasing customer demand going forward.

For the full table of contents and to purchase the Silicon Wafers Critical Materials Reports™, visit https://techcet.com/product/silicon-wafers/

To get more market and supply chain information on silicon wafers, don’t miss the 2024 CMC Conference in Chandler, AZ on April 10-11. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


February 20, 2024

TECHCET Welcomes Mike Walden as New Senior Director

San Diego, CA, February 20, 2024:  TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — is excited to announce Mike (Michel) Walden as the new Senior Director of Market Research and Analytics for TECHCET. With an extensive career in the semiconductor industry, Walden brings a wealth of experience to TECHCET’s market research team. Walden has leadership experience in supply chain management, marketing, business strategy, and market intelligence from companies such as IBM, SUMCO, Okmetic, Zing Semiconductor, and SunEdison. He is an expert in modeling industry trends and forecasting semiconductor key indicators.

Mike Walden, new Sr. Director at TECHCET

By 2029, the market is set to eclipse the US$900 billion point, but the elusive US$1 trillion echelon is not predicted by TECHCET to be reached until 2031 or 2032.
Walden will lead market research and data analytics, continuing TECHCET’s mission to provide dynamic, on-target, high-quality analysis on the semiconductor materials supply chains. He will also be the primary analyst for the Silicon Wafers and Sputtering Targets materials.

To meet Mike and discuss his insights on the semiconductor materials supply chains, make sure to attend the 2024 CMC Conference in Chandler, AZ on April 10-11. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


February 16, 2024

Semiconductor Revenue Forecast

After decline of -13%, TECHCET reports consecutive YoY double-digit growth

San Diego, CA, February 16, 2024:  TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — is anticipating a strong rebound in the semiconductor memory market segment for 2024, which will lead the total semiconductor industry into an upturn. This comes after a decline in total semiconductor revenues to US$572 billion in 2023, a -13% change compared to 2022. Significant revenue growth is expected in 2024 of 12%, followed by even stronger growth in 2025 of 21%. Moderated growth is anticipated in 2026 as the market enters a downcycle later that year.

By 2029, the market is set to eclipse the US$900 billion point, but the elusive US$1 trillion echelon is not predicted by TECHCET to be reached until 2031 or 2032.

While the cyclicity of the semiconductor market is evident in this forecast, overall revenue trends for materials markets are more moderate and often do not exhibit the same swings in ASP’s or revenues as semiconductor device revenues. TECHCET will provide an overview of the current materials market outlooks with respective insights at their upcoming Advisory Alert Webinar, on April 21st, available to member subscribers and special guests.

To get more market and supply chain information on TECHCET’s forecasts and Critical Materials Reports™, go to:
https://techcet.com/ or email us here

Don’t miss the 2024 CMC Conference in Chandler, AZ on April 10-11. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


February 6, 2024

Quartz, Ceramic, and Silicon Equipment Components

Semiconductor fab expansion met with pause before strong rise in 2025

San Diego, CA, February 6, 2024:  TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — reports that the total Semiconductor Quartz and Silicon Parts markets will show moderate growth of less than 5% to US$2.95 billion in 2024. This outlook is attributed to a slowing in fab expansion plans and an expected strong recovery in equipment spending pushed out to 2025. 2023 was a challenging correction year for the market, as the combined revenues for the two segments contracted 6% to US$2.87 billion. This decline followed a remarkable 28% growth observed in 2022, fueled by the strong expansion of the semiconductor equipment market. More detailed information can be found in TECHCET’s Equipment Components Critical Material Reports™ here: (https://techcet.com/product-category/equipment-components/).

In recent years, supply chain issues have reigned in these two market segments, with the main issue now centering around bilateral trade conflicts (e.g., China-U.S.). These concerns have triggered a renewed focus on securing localized supply chains of critical materials in all markets, or identifying alternative suppliers from different markets. For example, the US has limited local companies exporting 14 nm and below semiconductor equipment to China; thus, local Chinese suppliers need to find solutions to deliver sales to customers. It is believed that domestic suppliers who have overseas sales will redirect their focus to supplying local Chinese fabs because of more demand and lower quality requirements. More information on these supply chain topics will be discussed at the 2024 CMC Conference in Chandler, AZ on April 10-11 (https://cmcfabs.org/2024-cmc-conference/).

“The theme of the semiconductor quartz component market segment has been to keep producing while proceeding with caution, and minimizing expansion investment” states Lita Shon-Roy, President and CEO at TECHCET. As the industry recovers, there will be a greater need to ramp up quartz material production to meet the rising demand for advanced process nodes from semiconductor equipment makers and fabs. Previous backlogs have been fulfilled and lead-times are now more reasonable. Prior expansion plans for quartz already occurred in part during 2022, which may have resulted in some excess capacity in 2H 2023. Looking into 2024, production plans will utilize 2023 unused capacity as the semiconductor industry tries to resume and incoming chip fab investments and equipment demands move forward.

From a supply chain standpoint, up to 50% of silicon parts sales are tied to new etch or deposition tool purchases from equipment makers, while the remaining 50% are direct sales of replacement parts. A recovery in the equipment market is anticipated to boost demand for parts in 2024, especially as new fabs ramp up. In 2025, strong demand will be driven by increased etch and deposition steps for 3DNAND and leading-edge logic devices. Addressing supply tightness will require industry players to leverage established practices such as long-term agreements and strategic partnerships with parts fabricators. Silicon parts fabricators have and will continue to boost in-house ingot growing capacity dedicated to the silicon parts fabrication only. Many fabricators have developed their own silicon growing capabilities within the past 15 years, which has strengthened their ability to support demand for equipment parts.

For the full table of contents and to purchase the Equipment Components Critical Materials Reports™, visit https://techcet.com/product-category/equipment-components/

To get more market and supply chain information on Silicon Parts and Quartz Equipment Components, don’t miss the 2024 CMC Conference in Chandler, AZ on April 10-11. For more info and to register, visit https://cmcfabs.org/2024-cmc-conference/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


January 23, 2024

Electronic Gases Market Aimed for Rebound Despite Challenges

Houthi rebel attacks and geopolitical issues raising inflation and energy prices

San Diego, CA, January 23, 2024:  TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — reports that healthy recovery is on the way for the Electronic Gases market, following the decline to below US$7 billion in 2023. For the coming year, the Specialty Gas segment is currently forecasted to grow 8% to hit US$5.6 billion, while the Bulk Gas segment will increase by 6% to reach US$1.94 billion. Through the 2022 to 2027 forecast period, the Electronic Gases market will grow at a 6.3% CAGR to top US$9.2 billion, as described in TECHCET’s Critical Materials Report™ on Electronic Gases (https://techcet.com/product/gases/).

“One of the biggest challenges to the gases supply chain has been geopolitics, which has heightened complications within the supply chain due to inflation and high energy prices,” states Jonas Sundqvist, Ph.D., Senior Analyst at TECHCET. Recent geopolitical tensions, including Houthi rebel attacks in the Red Sea and Iran’s activities in the Persian Gulf, have significantly disrupted global shipping routes between Europe and Asia. As Sundqvist notes, these geopolitical challenges compound the existing difficulties in the supply chain, exacerbating inflation and energy price hikes. Due to these tensions, the redirection of shipping routes around the Horn of Africa is driving up shipping costs and extended transit times, impacting the global economy, particularly the supply of consumer goods, gases and chemicals, and energy. The gas market is also dependent on sourcing natural and rare gases from regions of political instability, such as Russia, Ukraine, and the Middle East, which has made it very difficult for suppliers and chip fabricators to come to agreement on long-term or short-term contracts due to potential risk.

Helium is expected to see steep demand over the next several years from chip manufacturing, one of the fastest-growing segments. TECHCET anticipates helium consumption to grow at an 8% CAGR from 2023 to 2030. Some issues with helium price escalation have come up over the past two years, and global supply is expected to lag demand until 2028, as shown in the graph above.

Additional incremental helium capacity expansions will be required to maintain sufficient supply. If Russian-sourced helium doesn’t come into the market in the next three years, another regional source will have to fill that need, or significant shortages will occur. Europe has diverted its supply from Russia to Algeria and Qatar, which are likely candidates to fill the void for the industry should Russia not be a politically acceptable source of supply. Algeria and Qatar could take the next step to invest in more helium capacity, but they need to justify the cost of increasing natural gas capacity. The risk of relying on Qatar for more helium is that it is located in the Persian Gulf, which could become affected by broader regional conflict.

To purchase or view the full table of contents for TECHCET’s Critical Materials Report™ on Electronic Gases, go to:
https://techcet.com/product/gases/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


January 10, 2024

Increasing CMP Process Steps Driving High Growth for CMP Consumables

San Diego, CA, January 10, 2024:  TECHCET — the advisory firm providing materials market & supply chain information for the semiconductor industry — has announced projections that the CMP Consumables market will approach US$3.5 billion in 2024, rising from the US$3.3 billion forecasted for 2023. Looking ahead to 2027, TECHCET anticipates a further surge, with the consumable materials market expected to surpass US$4.2 billion. This growth is attributed to continued global fab expansions, and the evolving demands of new device technology which require additional CMP process steps.

As highlighted in TECHCET’s Critical Materials Report™ on CMP Slurry & Pads (https://techcet.com/product/cmp-slurry-and-pads-only/), CMP continues to be one of the critical process steps to fabricate ultra-flat, low defect, and smooth surfaces, enabling advanced electronic device manufacturing to have an increased number of thin layers. Advanced technology nodes require more CMP steps – DRAM is up to 13 step, 3XXL 3DNAND requires 36 steps, and Gate-All-Around (GAA) logic requires 41 CMP steps total.

New metallization materials, such as cobalt, ruthenium, and molybdenum, are being evaluated to replace tungsten and some minimum dimension copper interconnects. These replacements will require new CMP consumables to come into play. This CMP consumable segment should experience a CAGR of over 50% as the new metallization schemes are integrated and ramped up.

Hybrid metallization, semi-damascene and hybrid-height with zero via structures are being developed to solve CMP integration challenges. Buried power rails (BPR) are helping to improve connectivity and will require 4-5 CMP steps for DRAM and 15 Cu CMP steps for GAA.

Device makers continue to look for ways to reduce consumables costs. In this current market climate, high inflation rates and the high degree of customization means that there is little chance that prices will decline for CMP consumables.

TECHCET is witnessing a high level of focus on in-line metrology, machine learning, and AI for the CMP processes. These smart manufacturing tools are being used to assist in tool-to-tool matching, contamination control, defect detection and process excursion prevention, all of which are increasing yield, improving productivity, and optimizing consumables consumption.

To purchase or view the full table of contents for TECHCET’s Critical Materials Report™ on CMP Slurry & Pads, go to: https://techcet.com/product/cmp-slurry-and-pads-only/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top


January 3, 2024

Chemical Suppliers Struggle to Keep Up with US Expansion Plans

Long-term US semiconductor growth is certain, but short-term chaos is likely

San Diego, CA, January 3, 2024:  TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting a jump in the US domestic share of the semiconductor material market to 13-15% by 2027, as support grows for incoming fab expansions. While this outlook is looking generally positive for the US semiconductor industry, uncertainties with timing expansions have made it difficult for suppliers to plan effectively and CHIPS Act funding does not seem to be helping. In many cases, suppliers are “expansion-ready,” and are just awaiting demand signals from chip manufacturers. As a result, much of the incremental US wet chemical capacity is focused on an “import first, build later” strategy, meaning capacity is being used to warehouse, possibly purify, repackage, and distribute imported chemicals, rather than manufacture them domestically. This is the case in regard to IPA, as shown in the graph below. Although the potential growth is high, the timing is uncertain.

While this import-focused approach helps meet initial fab needs, it keeps the US chip industry dependent on exports, which can bring instability to the supply chain. As wafer start capacity grows, this dependence on exports will grow in parallel, unless suppliers are able to ramp capacity accordingly, as shown in TECHCET’s new market report on the “Impact of Chip Expansions on the US Wet Chemicals Supply Chain.”

Asia-based suppliers such as AUECC, ENF, and MGC among others, have established and/or are expanding US operations to support the domestic chip manufacturing expansion. In addition, some US-based suppliers (PVS, Entegris, etc.) have initiated or announced plans to increase capacity for semiconductor wet chemicals. Timing uncertainties have remained an issue though, as many of the partnership activities, such as with Kanto and Chemtrade, have been stopping and starting with fab announcements.

Suppliers are currently concerned that the US CHIPS and Science Act is not supporting the full supply chain as originally hoped. Fabs and equipment manufacturers remain the priority of CHIPS Act funding. Material suppliers see the Notice of Funding Opportunity (NOFO) as offering little support without tax incentives, and are unclear what funding will be left over for them. Furthermore, the NOFO discourages small projects, stating that projects under $20M are unlikely to be approved.

For more details and forecasting on the Impact of Chip Expansions on the US Wet Chemicals Supply Chain, go to: https://techcet.com/product/impact-of-chip-expansion-on-us-chemical-supply-chain-2/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please email us here, call +1-480-332-8336, or go to www.techcet.com.

Back to top